登录
首页 » VHDL » 基于FPGA的温度计源代码,VHLL语言

基于FPGA的温度计源代码,VHLL语言

于 2023-06-09 发布 文件大小:28.88 kB
0 145
下载积分: 2 下载次数: 1

代码说明:

基于FPGA的温度计源代码,VHLL语言-Thermometer-based FPGA source code, VHLL language

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • IFFT
    OFDM中的IFFF模块实现,基于verilog实现,通过验证(OFDM module in IFFF)
    2010-05-28 21:16:54下载
    积分:1
  • stm32adc12路采集DMA
    说明:  adc采集多路采集多通道基于dma的adc采集(ADC acquisition, multi-channel acquisition and multi-channel acquisition)
    2020-06-19 06:20:01下载
    积分:1
  • 我用过的verilog hdl写的SDRAM core源程序,经过测试应用
    我用过的verilog hdl写的SDRAM core源程序,经过测试应用-I used to write Verilog HDL source of SDRAM core, the test application
    2022-01-23 10:44:34下载
    积分:1
  • PS2键盘控制程序实验的内容是用EDK建一个简单的系统并加入自定义的外设(一个ps2键盘控制器) 当键盘按下时会有相应的键扫描码输出显示到PC终端...
    PS2键盘控制程序实验的内容是用EDK建一个简单的系统并加入自定义的外设(一个ps2键盘控制器) 当键盘按下时会有相应的键扫描码输出显示到PC终端 -PS2 keyboard to control the content of the experimental procedure is used EDK build a simple system and add custom peripherals (a ps2 keyboard controller) when the keyboard is pressed the corresponding button will scan code to the PC terminal output shows
    2022-03-26 18:34:50下载
    积分:1
  • rs-codec(255-223)
    这是rs(255,223)编码的verilog源程序。里面有:encode、decode、test-bench等文件。(This is rs (255,223) verilog source coding. Inside : encode, decode, test-bench and other documents.)
    2021-05-13 00:30:02下载
    积分:1
  • AD_R
    AD7685芯片采集程序,可以自行设置采样率,经检验可用。(The AD7685 chip collection procedures, available.)
    2020-12-20 14:19:08下载
    积分:1
  • Nios-II
    数字电路的设计。以软件方式实现硬件电路,功能强大,开发容易。(Digital circuit design. With software to realize the hardware circuit, powerful, development easy. )
    2011-12-03 09:47:56下载
    积分:1
  • A8255的vhdl源代码,比较简单的一个
    A8255的vhdl源代码,比较简单的一个-Vhdl source code of A8255
    2022-05-07 14:31:39下载
    积分:1
  • uart
    用Verilog HDL,实现的FPGA串口调试程序,已经在硬件上调试成功(With Verilog HDL, FPGA serial debugger implemented in hardware debugging has been successful)
    2015-07-23 15:24:12下载
    积分:1
  • FCFS_PROJECT_A
    FCFS (First Come First Served) with Database
    2014-10-09 20:23:32下载
    积分:1
  • 696524资源总数
  • 103920会员总数
  • 65今日下载