登录
首页 » VHDL » 一个用VHDL语言编写的双端口存储器程序,可下载在FPGA中使用

一个用VHDL语言编写的双端口存储器程序,可下载在FPGA中使用

于 2023-06-18 发布 文件大小:4.10 kB
0 47
下载积分: 2 下载次数: 1

代码说明:

一个用VHDL语言编写的双端口存储器程序,可下载在FPGA中使用-Written in VHDL language using a dual-port memory program can be downloaded in the FPGA using

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • EDA
    Quatus下用Verilog语言编写的双向交通灯控制系统,内含程序及波形图,注释详细,课程设计(Verilog language Quatus two-way traffic light control system, containing program and waveforms, detailed annotations, curriculum design)
    2021-01-09 12:58:51下载
    积分:1
  • 人脸识别(3D)
    基于高清视频的3D人脸识别源代码,四万多行,经过FPGA实际验证,最近调试完毕。(The source code of 3D face recognition based on HD video, more than 40,000 lines, has been verified by the actual FPGA, and has been debugged recently.)
    2019-07-01 16:22:46下载
    积分:1
  • using_memory_allocation_mger
    vmm primer的使用使用文档,和之前vmm primer源代码配套使用!(vmm the primer use of the use of the document, and before supporting vmm the primer the source code to use!)
    2012-12-23 22:43:30下载
    积分:1
  • build synthesizer on a de2 dev fpga board
    build synthesizer on a de2 dev fpga board
    2023-07-24 00:25:04下载
    积分:1
  • DSP-keshe
    设计题目:基于MATLAB的FFT算法的设计 设计内容: 所设计的FFT算法应完成以下功能: (1)在MATLAB环境下编写FFT算法(不调用系统现有函数); (2)实现对选定图片进行FFT计算、还原(IFFT计算),并与系统FFT函数做对比,进行分析; (3)设计GUI界面。 (Design topics: content based on the the MATLAB FFT algorithm design design: the design of the FFT algorithm should perform the following functions: (1) the FFT algorithm written in MATLAB environment (do not call existing function of the system) (2) to achieve the selected picture for FFT calculation, restore (IFFT calculation) system FFT function analysis (3) design GUI interface.)
    2013-04-09 16:51:00下载
    积分:1
  • verilog写的数字频率计的显示模块,可以
    verilog写的数字频率计的显示模块,可以-written in Verilog Digital Cymometer display module can be
    2022-03-23 18:10:33下载
    积分:1
  • 这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断...
    这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断-The verilog language is FPGA-based traffic light controller, respectively, the four direction control of traffic lights-off
    2022-03-22 05:17:26下载
    积分:1
  • Spartan-6-PCIE_tutorial2
    xilinx spartan 6 pcie 仿真教程,v2.4版本,主要是讲解如何使用pcie core和自己的用户逻辑级联仿真。(xilinx spartan 6 pcie sim tutorial ,tell readers how to sim using pcie core and user app logic,tool:questasim)
    2020-11-23 19:19:34下载
    积分:1
  • 11 阶FIR 数字滤波器,verolog描述,通过modelsim 6.0 仿真,Quartue综合...
    11 阶FIR 数字滤波器,verolog描述,通过modelsim 6.0 仿真,Quartue综合-11-order FIR digital filter, verolog description, modelsim 6.0 through simulation, Quartue integrated
    2022-10-31 17:45:02下载
    积分:1
  • verilog
    数字信号除了的FPGA实现的Verilog源代码,之前发过一份是VHDL,各有所需吧,需要的看看吧(Digital signal in addition to the realization of the FPGA Verilog source code, send before a is VHDL, each have need it, need to look at it )
    2012-02-25 15:06:35下载
    积分:1
  • 696524资源总数
  • 103838会员总数
  • 43今日下载