登录
首页 » Verilog » 的维特比编码器和解码器的VLSI实现

的维特比编码器和解码器的VLSI实现

于 2023-06-19 发布 文件大小:38.03 kB
0 20
下载积分: 2 下载次数: 1

代码说明:

应用背景前向纠错技术的利用在接收端的错误更正。这是众所周知的数据在无线信道的传输受衰减,失真干扰和噪声,从而影响接收机的接收能力信息。维特比编码和Viterbi译码是一个功能强大的方法正向错误检测和校正。它已被广泛部署在许多无线通信系统,以提高有限容量的沟通渠道。本文的主要目的是描述比较分析各种FPGA器件之间的资源优化设计维特比编码器和解码器的实现。比较的基础是仿真和综合结果。在这个项目中,资源优化的Viterbi解码器的设计采用了追溯架构;关键技术Verilog是一个主要的硬件描述语言(HDL)用在工业界和学术界的verilog硬件设计师非常类似C和电气和计算机工程师最喜欢学习C大学语文。介绍了用Verilog 1985网关系统设计现在公司,Cadence设计系统公司的系统部的一部分。直到5月,1990,用Verilog开放国际的形成(OVI),Verilog HDL是一个专有的语言节奏。Cadence的动机是打开语言的公共领域的期望,市场对Verilog HDL相关软件产品将增长更迅速,更广泛的接受语言。抑扬顿挫,Verilog HDL实现用户需要的其他软件和服务企业要接受语言和发展Verilog设计工具支持。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • my_lms
    自适应滤波,对输入信号进行选择性的加权处理,使输出达到最优化,并且能够跟踪和适应系统和环境的动态变化(Least mean square,of the input signal processing, selective weighted output, and optimize can track and adapt to the dynamic changes of the system and environment)
    2010-10-14 15:30:00下载
    积分:1
  • manuals
    ISE Design Suite Software Manuals and Help - PDF Collection,ISE 软件手册以及帮助。(ISE Design Suite Software Manuals and Help- PDF Collection, ISE software manuals as well as help.)
    2012-11-28 21:47:01下载
    积分:1
  • Xilinx ISE License
    说明:  Xilinx ISE License集合,包含Vivado、ise的破解license,安装ISE后loading license即可完成,最全的器件库(Xilinx ise license Collection, including Vivado and ISE cracking licenses. After ISE is installed, the loading license can be completed, which is the most complete device library.)
    2021-01-19 23:28:43下载
    积分:1
  • CIC
    Efficient CIC filter Implementation using VHDL
    2010-11-19 08:54:23下载
    积分:1
  • 一个verilog的MP3解码项目
    //***********************************************************//data        : 2007-07-11 11:30:00 //version     : 1.0////module name : Mp3Decode////modification history//---------------------------------//firt finish  2006//             2007-07-11  11:30:00   //***********************************************************
    2022-09-17 13:05:02下载
    积分:1
  • spi_hello
    SPI接口测试程序,Xilinx参考设计,ML507硬件测试通过.(SPI interface test code,Xilinx reference design,tested on ML507 platform.)
    2013-09-01 09:37:04下载
    积分:1
  • uartuart
    uartuartuartuartuartuartuart 基于安排不
    2022-02-09 16:34:47下载
    积分:1
  • UART_RX_
    fpga串口的发送程序基于verilog语言拿走不用谢。(The sending program of FPGA serial port is based on Verilog language.)
    2020-06-18 04:00:01下载
    积分:1
  • Verilog 汽车尾灯
    汽车尾灯控制 能够实现 直行 左转 右转 左转刹车 右转刹车 直行刹车 故障等情况下的车灯控制 汽车尾灯控制 能够实现 直行 左转 右转 左转刹车 右转刹车 直行刹车 故障等情况下的车灯控制
    2022-05-17 08:27:12下载
    积分:1
  • yinpine2
    基于NIOS2的VGA接口IP核,具有很好的借鉴性和参考性(NIOS VGA IP)
    2012-10-12 21:14:35下载
    积分:1
  • 696524资源总数
  • 103945会员总数
  • 46今日下载