登录
首页 » 嵌入式系统 » PIC 12C508A control the use of LED output power of the compilation of examples o...

PIC 12C508A control the use of LED output power of the compilation of examples o...

于 2023-06-25 发布 文件大小:10.64 kB
0 44
下载积分: 2 下载次数: 1

代码说明:

使用PIC 12C508A 控制LED输出功率的汇编实例程序-PIC 12C508A control the use of LED output power of the compilation of examples of procedures

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 匿名飞控源码
    匿名飞控四旋翼飞行器源码,包括姿态结算,卡尔曼滤波,PID控制。号称国内最好的开源四轴,资源详细,注释明确,是四轴爱好者、学生、初学者的不二选择。四轴飞行器,又称四旋翼飞行器、四旋翼直升机,简称四轴、四旋翼。这四轴飞行器(Quadrotor)是一种多旋翼飞行器。四轴飞行器的四个螺旋桨都是电机直连的简单机构,十字形的布局允许飞行器通过改变电机转速获得旋转机身的力,从而调整自身姿态。具体的技术细节在“基本运动原理”中讲述。固有的复杂性,历史上从未有大型的商用四轴飞行器。近年来得益于微机电控制技术的发展,稳定的四轴飞行器得到了广泛的关注,应用前景十分可观。
    2022-05-10 15:29:29下载
    积分:1
  • TMSLF2407串口通信程序,c语言程序
    TMSLF2407串口通信程序,c语言程序-TMSLF2407 serial communication program, c language program
    2023-08-08 11:25:04下载
    积分:1
  • 本设计的基本要求是以复杂可编程逻辑器件CPLD为基础,通过在EDA软件ispDesignExpert System 环境下进行数字设计,熟练掌握该环境下...
    本设计的基本要求是以复杂可编程逻辑器件CPLD为基础,通过在EDA系统软件ispDesignExpert System 环境下进行数字系统设计,熟练掌握该环境下的功能仿真,时间仿真,管脚锁定和芯片下载。 本系统基本上比较全面的模拟了计数式数字频率计,广泛应用于工业、民用等各个领域,具有一定的开发价值。- This design basic request is take complex programmable logical component CPLD as a foundation, through environment carries on the number system design in EDA system software ispDesignExpert under the System, skilled grasps under this environment the function simulation, the time simulation, base pin locking and chip downloading. This system basically quite comprehensive simulation has counted the numerical expression numeral frequency meter, widely applies to the industry, civilly and so on each domain, has the certain development value.
    2023-07-03 12:45:03下载
    积分:1
  • 一个arm7开发板上cathe内存测试程序,该程序用c语言编写。
    一个arm7开发板上cathe内存测试程序,该程序用c语言编写。-a arm7 Development Board cathe memory test program, the program is available c language.
    2022-08-11 11:42:40下载
    积分:1
  • 使用2410实验箱对AD9852进行控制输出指定频率的正弦波
    使用2410实验箱对AD9852进行控制输出指定频率的正弦波-2410 experiment using control box on the AD9852 output sine wave of specified frequency
    2022-06-26 18:35:19下载
    积分:1
  • 自动小车寻迹原理图及程序
    设计的小车能够实时显示时间、速度、里程,具有自动寻迹、避障功能,可程控行驶速度、准确定位停车。
    2022-01-31 06:24:49下载
    积分:1
  • 简单描述lcdili9320驱动代码和配置说明参考……
    简单描写lcdili9320的驱动代码及配置说明,仅供参考。-Simple description lcdili9320 driven code and configuration instructions for reference only.
    2022-04-15 03:08:10下载
    积分:1
  • Huffman编码的VHDL程序
    huffman code vhdl program
    2022-03-23 19:37:01下载
    积分:1
  • atmel at91sam7s and 6x, file system development process, u disk can develop and...
    atmel at91sam7s和7x下,开发文件系统的程序,可以开发u盘和sd卡文件读写应用-atmel at91sam7s and 6x, file system development process, u disk can develop and document literacy sd card application
    2022-07-06 10:08:52下载
    积分:1
  • 可实现一个跟随鼠标转的眼睛的有趣vb程序
    可实现一个跟随鼠标转的眼睛的有趣vb程序-Can realize a transfer of the eyes follow the mouse interesting vb program
    2023-01-17 10:55:04下载
    积分:1
  • 696522资源总数
  • 104049会员总数
  • 30今日下载