登录

最新会员 最新下载

成为了本站VIP会员

05月08日 20:16

成为了本站VIP会员

05月08日 10:54

成为了本站VIP会员

05月07日 09:50

成为了本站VIP会员

04月30日 12:23

成为了本站VIP会员

04月29日 21:46

成为了本站VIP会员

04月26日 23:14
已选条件
  1. 编程语言:Verilog
  2. 代码类别:书籍源码
  3. 发布时间:半年内
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. AN65974

  CYPRESS官方给的FPGA程序,用于调试USB3.0接口(Verilog source files for debugging USB3.0 interface)

11
下载
108
浏览
2020-11-30发布

2. 新建 Microsoft Word 文档

  八位串行乘法器 缺点:乘法功能是正确的,但计算一次乘法需要8个周期,因此可以看出串行乘法器速度比较慢、时延大。 优点:该乘法器所占用的资源是所有类型乘法器中最少的,在低速的信号处理中有广泛的使用。(Eight bit serial multiplierDisadvantages: the multiplication function is correct, but the computation of one multiplication requires 8 cycles, so it can be seen that the serial multiplier is slow and time-consuming. Advantages: the multiplier occupies the smallest number of resources in all types of multipliers, and is widely used in low speed signal processing.)

0
下载
126
浏览
2018-06-10发布