登录

最新会员 最新下载

成为了本站VIP会员

今天14:47

成为了本站VIP会员

05月08日 20:16

成为了本站VIP会员

05月08日 10:54

成为了本站VIP会员

05月07日 09:50

成为了本站VIP会员

04月30日 12:23

成为了本站VIP会员

04月29日 21:46
已选条件
  1. 编程语言:Quartus II
  2. 代码类别:系统设计方案
  3. 发布时间:半年内
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. FPGA_homewrk2

  设计一个能求出一个32bit字中两个相邻0之间最大间隙的电路。完成HDL设计及testbench描述,给出综合后的时序仿真结果。文档报告(Design a circuit that can find the maximum gap between two adjacent 0 in a 32bit word. The HDL design and testbench description are completed, and the result of comprehensive simulation is given. Document report)

13
下载
153
浏览
2018-05-07发布