登录
首页 » Others » JSP+Mysql+HTML5合同管理系统

JSP+Mysql+HTML5合同管理系统

于 2020-11-02 发布
0 64
下载积分: 1 下载次数: 0

代码说明:

合同系统通过HTML5+前端框架+阿里的数据库技术,然后做出的一套系统

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • UMI微狗复制工具,可读取原狗数据进行复制
    UMI 微狗硬复制工具 百分百OK 可直接读取原狗数据进行复制
    2021-05-06下载
    积分:1
  • 华为产品visio面板图.rar
    【实例简介】华为产品visio面板图 在官网找到的
    2021-11-21 01:00:37下载
    积分:1
  • 风力发电模型在MATLAB中的模型建立
    风机仿真模型及代码Simulation of a Microturbine Generation System for Grid Connected and Islanding OperationsThe ever-increasing need for electric power generation, steady progress in the power deregulation and utility restructuring, and tight constraints over the construction of new transmission lines for
    2020-11-30下载
    积分:1
  • C# 数字图像处理 有关遥感图像处理的
    这是我们交的实验,有关遥感图像的处理的一些编程,原创,有误之处请指教
    2020-12-02下载
    积分:1
  • AD、LDAP本身及可介绍(附demo代码)
    比较宏观全面的介绍AD, AD LDS,LDAP, OpenLdap,LDAP client API,并提供了c++,java,python的示例代码,不是很深入,但对入门,对全面的理解这几个技术之间的关系,有很大的帮助。
    2021-05-06下载
    积分:1
  • 红色飓风E45开发板实验手册与用户手册
    红色飓风E45 FPGA开发板的实验手册与用户手册,很好的学习资料REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册目录前言实验指导手册使用说明:第一章使用介绍1概述2lSL122设计过程63建立工程设计输入5设计仿真6综7管脚分配148设计实现159程序下载.1610调试与在线逻辑分析仪的使用19第二章数字电路与数字系统实验第一部分基础实验实验一3/8译码器.25实验二十进制计数器实验36-实验三按键及拨仍开关实验实验四蜂鸣器“梁祝”乐曲演奏38实验五LLD流水灯实验.实验六数码管扫描显小-40-实验七字符型ICD显示实验43-第二部分中级实验实验八串口通信实验45实验九VGA输出八色彩条实验-49-实验十VGA显示乒乓球实验实验十一PS2显示LCD实验实验十二PS2显小串口实验56实验十三PS2鼠标显示LED实验实验十四分频器设计实验实验十五正弦函数发生器实验…实验十六AD转换实验68-第三部分高级实验实验十七IR滤波器实验|八USB输入输出实验∴…实验十九音频输入输出实验…∴84-北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册第四部分存储器实验实验二|双口RAM仿真实现85实验二十一SRAM读写实验…-86实验二十二 EEPROM读写实验-89-实验二十三 SDRAM读写实验第五部分软嵌入式系统操作讲解北京威视锐科技有限公司Web(中国)(国际REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册第一章使用介绍概述ISE是使用ⅩLLNX的FPGA的必备的设计工具,它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大,对于大多数FPGA设计者米说,使用ISE就可以完成设计任务,取得满意的效果。这个实验手册针对的ⅠSE版木是12.2,ISD12.2增强了部分可重配置功能,逻辑综合平均速度提升了2倍,大型设计实施运行速度加快了1.3倍,同吋强化了嵌入式设计的方法。当然,用其他版本的ISE也能进行手册上的实验。北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册设计过程设计验证设计输入行为仿真综功能仿真设计实现「静态时序时序仿真配置下载在线调试图1.2.1ISE设计过程建立工程双击桌面上的 Xilinx ise12.2的快捷图标启动ISE集成开发环境。新建工程,如图1.3.1,1.3.2和1.33所示:北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册Enter a name locatios, and comment for the projectsmp日LI:V3FPGh1Worki:g Directory: D: V3FPGhTop-levelP比LLMlxg工nf图1.3.1Project SettinGspecify device and project propertie⊥ ow for thErice距CES瓶45Loperty Specification in Project Fiore notrdefault values olyAnalysis stV山L3三b1。 Message fi1tCancel图1.32北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册File edit y1E No single design modile4回5图1.3在新建工程时,需要注意一下几点(1)工程名,最好用英文不要有汉字,因为ISE下有些工具对于含有汉字的文件目录支持不是很好。(2)仿真工具 Modelsim-SE是第三方软件,需要另外安装。也可使用ISE自带的Isim。设计输入1.设计输入就是将一个概念设计转换为使件描述的过程。可以利川多种不同方式打开新建源代码智能向导,如图1.4.1所示:2.ISE支持多种新建文件类型,如图14.2所示:IP( coregen& Architecture Wizard)ISE软件中提供的各种IP。Schematic:原理图源代码。User document:用户文档。● Verilog Module: Verilog模块Verilog Test Fixture: erilog模块测试激励北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentN∈ FPGA Design Expert红色飓风E45实验手册● VHDL Module:VHDL模块VHDL Library:VHDL厍文件。VHDL Package:VHDL包文件。● Embedded Processor:嵌入式处理器文件等各种不同的类犁。seISE Project Navi gator (M63c)-D:v3FPGAex ample.xiseFile edit View Project Source PrTools indow LHelp百Ⅹview:图mp1 em entail M Si熊部145-3fge484新建源文件Lew SourceThe vie目 dd sourceFiles. yorAdd Copy of SourccommanManual Compile OrderTEnu anp工 mplement Top ModuleprOpRFile/Path Displ图1.4.1新建源代码方式selecrca type, file name and its lD目P地Verilog Modulverilog fes. F:FilMore lntHCancel北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册图1.4.2工程所支持的文件格式3. Verilog或VHDL源代码输入模板ISE软件提供了很多源代码模板用使用者参考设计,如图1.4.3所示:I: 13FFile edit vien prL4□百XW队a:M3m,/ Notc: CLI muot bc dcfinc回amyl彐中□ Levice fEcr I,ss begi白uk3Lnu1u拜PER-D/2sRu⊥,LBE tTon-50% Duty C由-□卫1ays9|2n中 c synthesize-XsT由2 Implant3ai8DesLanguage Tenlates EError且Br0rs四【x出1AC的11s取:图14.3源代码模板4.源代码语法检查。源代码设计完成后,在进行其他操作以前需要先对设计源代码进行语法检查,保证没有语法错误。双 Synthesize -XST下的 Check Syntax,即进行语法检查,如图1.44所示:北京威视锐科技有限公司Web(中国)(国际)
    2020-11-30下载
    积分:1
  • 压缩感知MATLAB
    压缩感知,又称压缩采样,压缩传感。它作为一个新的采样理论,它通过开发信号的稀疏特性,在远小于Nyquist 采样率的条件下,用随机采样获取信号的离散样本,然后通过非线性重建算法完美的重建信号。压缩感知理论一经提出,就引起学术界和工业界的广泛关注。他在信息论、图像处理、地球科学、光学/微波成像、模式识别、无线通信、大气、地质等领域受到高度关注,并被美国科技评论评为2007年度十大科技进展
    2021-05-07下载
    积分:1
  • FPGA的配置过详解(FTAG、EPCS等)
    从各方面描述了3种配置FPGA的方式:1.FPGA主动串行(AS)方式 2. JTAG方式 3. FPGA被动(Passive)方式。很有用,看完以后豁然开朗因为我也想下一些东西,所以要了2分,见谅!
    2020-12-01下载
    积分:1
  • Altium Designer电子工师培训PPT(完整)
    Altium Designer电子工程师培训PPT(完整),一共六个PPT文档,非常详细。
    2020-12-06下载
    积分:1
  • 巴特沃斯低通滤波器的MFC
    巴特沃斯低通滤波器的MFC程序,FS是采样频率,fp是截止频率,大家-Butterworth low-pass filter MFC process, FS is the sampling frequency, fp is the cut-off frequency, we take a look at
    2020-12-05下载
    积分:1
  • 696524资源总数
  • 103872会员总数
  • 62今日下载