-
dds
说明: 基于fpga的函数发生器设计通过fpga实现正弦波输出(基于fpga的函数发生器)
- 2009-08-01 08:47:29下载
- 积分:1
-
以前学习VHDL语言时做的一个电子闹钟程序,可以实现时,分,秒的计时以及定时,校时,闹钟,整点报时的功能。...
以前学习VHDL语言时做的一个电子闹钟程序,可以实现时,分,秒的计时以及定时,校时,闹钟,整点报时的功能。-VHDL language before learning to do procedures in an electronic alarm clock, you can realize hours, minutes and seconds of time and from time to time, school time, alarm clock, the whole point timekeeping function.
- 2022-05-06 21:47:35下载
- 积分:1
-
taxi
出租车的计费功能的实现,计量模块、计费模块、控制模块、译码模块。(taxi fee)
- 2010-01-16 22:25:33下载
- 积分:1
-
hanming
用Verilog语言实现汉明编码,很粗燥,是大三的时候做的(With the Verilog language Hamming code, it is rough dry, a junior at the time to do)
- 2010-10-01 13:08:16下载
- 积分:1
-
relay_test
Simple relay trigger
- 2015-01-28 12:16:35下载
- 积分:1
-
traffic 2
说明: 实现主干道交通灯显示,以状态机程序实现,并用数码管进行红绿灯倒计时的显示,内置计数模块,交通灯控制模块,数码管显示模块,并对各模块用电路图的方式进行连接。对于学习VHDL语言有所帮助。(The main road traffic light display is realized by the state machine program, and the digital tube is used to display the traffic light countdown. The counting module, the traffic light control module and the digital tube display module are built in, and each module is connected by the circuit diagram. It is helpful for learning VHDL.)
- 2020-06-25 19:55:12下载
- 积分:1
-
code
modelsim下的60进制计数器源码和测试激励文件(modelsim M counter 60 under the source file and test incentives)
- 2009-07-17 10:26:46下载
- 积分:1
-
非常好的VHDL音乐
library ieee;
use
ieee.std_logic_1164.all;
use
ieee.std_logic_unsigned.all;
entity song is
port(clk_4MHz,clk_4Hz:in std_logic;
----预置计数器和乐谱产生器的时钟
digit:buffer std_logic_vector(6 downto 0); ----高、中、低音数码管指示
zero:out std_logic_vector(4 downto 0); ----用于数码管高位置低
- 2022-12-29 04:50:03下载
- 积分:1
-
PERI4-DM9000A
基于FPGA的DM9000A芯片的网络数据采集系统,基于NIOS架构,c语言编程,资料齐全,包含不止5个源程序,绝对受用!(FPGA-based the DM9000A chip network data acquisition system based on NIOS architecture, c programming language, the information is complete, contains more than 5 source code is absolutely good enough!)
- 2020-09-16 16:57:55下载
- 积分:1
-
基于EPM1270
基于EPM1270的EProm at24c02 驱动-Based on the EPM1270
- 2022-02-27 00:52:37下载
- 积分:1