登录
首页 » VHDL » Verilog代码。注册成功,对FPGA的使用标准单元库…

Verilog代码。注册成功,对FPGA的使用标准单元库…

于 2022-01-31 发布 文件大小:3.77 kB
0 41
下载积分: 2 下载次数: 1

代码说明:

verilog 代码. 经验证成功,可以作为标准单元库,为FPGA设计者使用.-Verilog code. Certified success, as a standard cell library for the use of FPGA designers.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • OFDM-analysis-and-simulation
    实现了光OFDM模块的各个功能,同时仿真分析了OFDM的载波幅度谱、相位谱、每个载波对应的时域信号、整个时域/频域的OFDM、每个接收符号的分布图。计算了相位差等等(To achieve the various functions of the optical OFDM module, the simulation analysis of the the the OFDM carrier amplitude spectrum and phase spectrum, and the time domain signal corresponding to each carrier, the whole time domain/frequency domain OFDM, each reception symbol maps. Calculated phase difference)
    2020-10-17 16:17:28下载
    积分:1
  • EDA
    说明:  十进制到十六进制转换的程序。程序要求从键盘取得一个十进制数,然后把该数以十六进制的形式在屏幕上显示出来。(Decimal to hex conversion program. Procedural requirements to obtain a decimal number from the keyboard, and then the hexadecimal number to be displayed on the screen.)
    2011-03-27 16:42:04下载
    积分:1
  • CCD
    对ccd图像进行解码采集,并通过VGA输出(Ccd image decoding of the collection, and through the VGA output)
    2009-07-16 22:35:30下载
    积分:1
  • FIR低
    fir低通滤波器 用于dspbuilder pll:25ns data 400khz sin 10.8khz-fir low-pass filter for dspbuilder pll: 25ns data 400khz sin 10.8khz
    2023-05-01 00:45:03下载
    积分:1
  • huawei_verilog
    huawei代码编码规范,包含基本的verilog的语法等编码规范,业界经典(Huawei code coding specification, including the basic syntax of the Verilog code, the industry classic)
    2016-03-15 20:02:57下载
    积分:1
  • Code
    提供了《自己动手写CPU》本书每一章涉及的OpenMIPS源代码、测试程序。(It provides the OpenMIPS source code and test program in each chapter, which is written in the book "do it yourself CPU".)
    2020-07-01 23:00:02下载
    积分:1
  • SD_rtl
    用verilog实现sd卡读写,亲测可用(Implementation of SD card read and write with Verilog)
    2020-12-27 21:49:02下载
    积分:1
  • vhdl语言 来实现 四位并行加法器的功能 是本科生的必学内容...
    用vhdl语言 来实现 四位并行加法器的功能 是本科生的必学内容-Using VHDL language to realize four parallel adder function is a must for learning the content of undergraduate
    2022-05-12 13:50:07下载
    积分:1
  • mig_7series_v1_9
    DDR3控制器源码,针对XilinxFPGA的DDR3控制器的源码,已经验证通过。(DDR3 Controller,complete DDR3 controll,have pass verificaion.)
    2016-08-16 09:27:43下载
    积分:1
  • Meyers-Wavelet.txt
    Meyers wavelet. DWT VHDL.
    2011-10-10 22:01:44下载
    积分:1
  • 696524资源总数
  • 103957会员总数
  • 51今日下载