登录
首页 » VHDL » 一个基于VerilogHDL语言的16位的booth算法的乘法器及其测试代码

一个基于VerilogHDL语言的16位的booth算法的乘法器及其测试代码

于 2022-02-07 发布 文件大小:1.30 kB
0 48
下载积分: 2 下载次数: 1

代码说明:

一个基于VerilogHDL语言的16位的booth算法的乘法器及其测试代码-VerilogHDL language based on the 16-bit multiplier of the booth algorithm and test code

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103938会员总数
  • 55今日下载