登录
首页 » Verilog » sdrm 仿真模型

sdrm 仿真模型

于 2022-02-11 发布 文件大小:6.18 kB
0 30
下载积分: 2 下载次数: 1

代码说明:

Micron 256Mb SDRAM Verilog model,经无数次验证仿真通过,绝对OK版,能严格的查出你代码中的时序,功能等各种问题。仿真通过后的代码上板子接实际的sdrm也是一次性通过哦!

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • mimasuo
    6位密码锁,密码锁控制器是硬件与软件的结合。根据设计要求,决定以FPGA芯片和VHDL语言设计此电子密码锁(6 locks, the lock controller is a combination of hardware and software. According to design requirements, the decision to the FPGA chip and VHDL design electronic locks)
    2012-05-22 21:11:17下载
    积分:1
  • UART
    UART文件 包括发送器 接收器 fifo 测试文件(UART file includes a receiver transmitter fifo test files)
    2016-06-06 20:35:02下载
    积分:1
  • uart
    uart通信的Verilog实现,包含rx tx 以及testbench(Verilog implemention of UART telecommunicate)
    2018-09-18 17:06:06下载
    积分:1
  • FIFO
    This is a simple example of FIFO(first in and first out) module written in verilog code(This is a simple example of FIFO (first in and first out) module written in verilog code)
    2013-10-04 00:41:42下载
    积分:1
  • 多周期CPU设计 Verilog源码
    本文件是用Verilog编写的多周期CPU的源码,文件里面含有CPU的连线图,用modesim编写,并且在Quartus II 下仿真通过,本代码将对初学者有很大的参考价值,欢迎大家下载!
    2022-02-05 05:11:14下载
    积分:1
  • FPGA_AD7606
    FPGA 与ad70676之间用并口通信 八个通道采集到的电压用串口打印出来(Parallel communication between FPGA and ad70676, the voltage collected by eight channels is printed out with serial port)
    2017-10-27 09:17:15下载
    积分:1
  • FPGA的I2S接收模块 audio_in_buff
    说明:  用于FPGA的I2S接收模块,仅供学习和参考(audio-i2s receive.use fpga.)
    2019-04-21 12:11:23下载
    积分:1
  • c8
    说明:  QPSK 调制 与 解调的源代码 可综合 出波形(QPSK modulation and demodulation of the source code)
    2011-03-04 00:17:17下载
    积分:1
  • 静态时序分析
    说明:  fpga 静态时序分析 是电子工程中,对数字电路的时序进行计算、预计的工作流程,该流程不需要通过输入激励的方式进行仿真。(Static time series analysis is a work flow which can calculate and predict the time series of digital circuits in electronic engineering.)
    2020-06-16 11:10:56下载
    积分:1
  • verilog
    VERILOG设计实例,非常详细的例子,有交通灯,频率计,数字跑表等等例子(Verilog design example, a very detailed examples have traffic lights, frequency meter, digital stopwatch, etc. Examples of)
    2008-05-28 22:12:57下载
    积分:1
  • 696522资源总数
  • 104031会员总数
  • 39今日下载