登录
首页 » VHDL » 基于CPLD的交通信号灯的实现

基于CPLD的交通信号灯的实现

于 2022-03-12 发布 文件大小:1.04 MB
0 43
下载积分: 2 下载次数: 1

代码说明:

基于CPLD的交通信号灯的实现,使用VHDL语言,使用不同颜色的二极管分别代表红黄绿三种信号灯。在数码管上可以分别显示倒计时。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • ran_num_generator.tar
    vhdl random numbergenerater
    2013-04-10 16:31:28下载
    积分:1
  • shuzihongdianlu
    数字钟电路的实现,可以24小时计时,可调整时间!(Digital clock circuit implementation, a 24-hour timer, adjustable time!)
    2013-08-18 14:49:14下载
    积分:1
  • Colorspace
    System Generator环境中颜色空间转换建模(Color space conversion model in the System Generator)
    2021-03-30 16:09:09下载
    积分:1
  • 一个精确的到0.01s的时钟源程序,对于初学VHDL理解很有帮助,只给了源程序没有给出仿真波形...
    一个精确的到0.01s的时钟源程序,对于初学VHDL理解很有帮助,只给了源程序没有给出仿真波形-An accurate clock source to the 0.01s for the beginner to understand VHDL helpful not only to the simulation waveform of the source
    2022-02-19 22:00:27下载
    积分:1
  • rs-codec(255-223)
    这是rs(255,223)编码的verilog源程序。里面有:encode、decode、test-bench等文件。(This is rs (255,223) verilog source coding. Inside : encode, decode, test-bench and other documents.)
    2021-05-13 00:30:02下载
    积分:1
  • ds1820
    基于FPGA的温度控制系统 VHDL 数码管显示温度 ds1820 温度报警(The temperature control system based on FPGA VHDL digital display temperature ds1820 temperature alarm)
    2015-01-06 14:08:43下载
    积分:1
  • amba3-vip-master
    说明:  All AMBA bus protocols - AXI3, AXI4, AXI4-Lite, ACE, AHB
    2021-01-11 10:08:49下载
    积分:1
  • DW_apb_wdt
    verilog实现watch dog,可直接用于芯片开发中。(erilog realization watchdog, can be directly used for chip development.)
    2020-12-25 16:09:06下载
    积分:1
  • ZBT-sram控制器VHDL.doc
    ---------------------------------------------------------------------------------- -- Company:       VISENGI S.L. (www.visengi.com) - URJC FRAV Group (www.frav.es) -- Engineer:      Victor Lopez Lorenzo (victor.lopez (at) visengi (dot) com) -- -- Create Date:    12:39:50 06-Oct-2008 -- Pr
    2022-03-02 23:54:43下载
    积分:1
  • 7。对于输入密码锁的关键,假设七个林后重置…
    7对于进入密码锁的按键,假设复位后七个灯显示" 0",而使用sw5、sw6 2,则只要按下并松开sw5后七个灯就显示" 5",而只要按下并松开sw6,七个灯就正确显示值" 6
    2022-08-08 20:59:23下载
    积分:1
  • 696522资源总数
  • 104038会员总数
  • 40今日下载