登录
首页 » VHDL » Several Example FPGA design contest

Several Example FPGA design contest

于 2022-09-16 发布 文件大小:1.66 MB
0 40
下载积分: 2 下载次数: 1

代码说明:

几个fpga竞赛的设计例-Several Example FPGA design contest

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • io_uart
    verilog设计的32位IO口扫描后通过串口发送到计算机(Verilog design of 32 bit IO export after scanning through the serial port to the computer)
    2012-12-27 00:05:01下载
    积分:1
  • CACPU
    basic cpu design in verilog
    2016-01-11 23:26:01下载
    积分:1
  • PID
    用Verilog HDL编写的PID程序代码,成功调试,运行良好。(The source code of PID in Verilog HDL.Simulation was successful.)
    2012-03-09 11:18:17下载
    积分:1
  • top1
    fpga,主要功能是实现n*n图像的旋转,源程序代码,(fpga, main function is to achieve the n* n image rotation, source code,)
    2020-07-08 15:48:56下载
    积分:1
  • math_real
    in this code very useful for designing real number concept
    2013-11-19 19:54:40下载
    积分:1
  • sd_vga_photo
    fpga读取sd卡内容并且通过vga接口在显示器上显示图片(fpga read sd card contents and by vga interface to display pictures on the monitor)
    2016-04-18 13:53:44下载
    积分:1
  • ad9288
    使用FPGA控制AD9288,方便移植,可以拿来直接使用,适合新手学习(Use FPGA control AD9288, easy migration, can be used to directly use for novices to learn)
    2021-04-21 08:58:49下载
    积分:1
  • ALOHA
    this program is a simulation for Aloha
    2012-11-13 11:38:10下载
    积分:1
  • 人脸识别(3D)
    基于高清视频的3D人脸识别源代码,四万多行,经过FPGA实际验证,最近调试完毕。(The source code of 3D face recognition based on HD video, more than 40,000 lines, has been verified by the actual FPGA, and has been debugged recently.)
    2019-07-01 16:22:46下载
    积分:1
  • 50 cases of practical CPLD design, very classic CPLD design, including 50 typica...
    CPLD实用设计50例,非常经典的CPLD设计,包含50个实际的典型应用,涉及直流电机PWM驱动,编码等内容,有了这50例,举一反三,就会了很多应用-50 cases of practical CPLD design, very classic CPLD design, including 50 typical practical applications, involving PWM DC motor driver, coding, etc., with these 50 cases, giving top priority will be a lot of applications
    2022-02-25 20:47:07下载
    积分:1
  • 696522资源总数
  • 104044会员总数
  • 20今日下载