登录
首页 » VHDL » 这是改变,你可以找到它在网上视频。

这是改变,你可以找到它在网上视频。

于 2022-03-26 发布 文件大小:3.51 MB
0 91
下载积分: 2 下载次数: 1

代码说明:

this come from alter ,you can look and find it on line about h263.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 提高流水线乘法器的FPGA Karatsuba AES-GCM吞吐量
    应用背景在本文中,我们提出了流水线的吞吐量的AES-GCMkaratsuab人基于有限域乘法器。与我们提出的四级子二次有限域乘法器,Ghash功能不在GCM任何瓶颈硬件系统,无论三的AES实现哪一个提高吞吐量的AES-GCM流水线Karatsuba乘法器203(基于BlockRAM SubBytes,复合场SubBytes或基于LUT的SubBytes)。这个提出的AES-GCM芯达到31gbps和39gbps Virtex4吞吐量和Virtex5,分别。实验结果表明,一个单一的现代FPGA芯片能提供超过了认证的AES-GCM 30Gbps的吞吐量,具有高性能计算领域可编程器件的优点系统。关键技术在AES-GCM的两种主要成分(高级加密标准伽罗瓦计数器模式)是一个AES引擎和一个有限域乘法器GF(2128)在通用散列函数(GHash)。因为固有的计算反馈,系统性能通常由有限的基于FPGA实现的已知域乘法器的日期。在本文中,我们目前的吞吐量优化的AES-GCM 4级流水线基于FPGA的Karatsuba-Ofman算法的有限域乘法器。关键流水线乘法器的延时然后匹配的AES实现无论BLOCKRAM SubBytes,流水线复合场SubBytes或基于LUT的字节。AES-GCM吞吐量超过30Gbps上一个单一的Xilinx Virtex芯片。实验结果表明,我们实现迄今为止最有效的AES-GCM FPGA实现。
    2022-04-10 20:58:26下载
    积分:1
  • 一些vhdl的简单例子。直接解压,不用密码。
    一些vhdl的简单例子。直接解压,不用密码。-instantiate some simple examples. Direct unpack, without a password.
    2023-04-21 21:55:02下载
    积分:1
  • Advanced-FPGA-Design
    高级FPGA设计__结构、实现和优化,中文翻译版(Advanced FPGA Design- Architecture, Implementation, and Optimization)
    2021-04-01 11:09:08下载
    积分:1
  • 非常好的VHDL音乐
    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity song is    port(clk_4MHz,clk_4Hz:in std_logic;      ----预置计数器和乐谱产生器的时钟         digit:buffer std_logic_vector(6 downto 0);  ----高、中、低音数码管指示         zero:out std_logic_vector(4 downto 0);     ----用于数码管高位置低  
    2022-12-29 04:50:03下载
    积分:1
  • 4个7段lcd同时显示的程序,已经在digilent的nexy2板上通过验证,非常好用易懂,适合初学者学习...
    4个7段lcd同时显示的程序,已经在digilent的nexy2板上通过验证,非常好用易懂,适合初学者学习-display 4 leds
    2022-09-21 08:15:03下载
    积分:1
  • msp430x41x
    低电源电压范围为1.8 V至3.6 V 超低功耗: - 主动模式:280μA,在1 MHz,2.2伏 - 待机模式:1.1μA - 关闭模式(RAM保持):0.1μA 五省电模式 欠待机模式唤醒 超过6微秒 16位RISC架构, 125 ns指令周期时间 12位A/ D转换器具有内部 参考,采样和保持,并 AutoScan功能 16位Timer_B随着三† 或七‡ 捕捉/比较随着阴影寄存器 具有三个16位定时器A 捕捉/比较寄存器 片上比较器 串行通信接口(USART), 选择异步UART或 同步SPI软件: - 两个USART(USART0 USART1)的† - 一个USART(USART0)‡ 掉电检测 电源电压监控器/监视器 可编程电平检测 串行板载编程, 无需外部编程电压 安全可编程代码保护 融合(Low Supply-Voltage Range, 1.8 V to 3.6 V Ultralow-Power Consumption: − Active Mode: 280 µ A at 1 MHz, 2.2 V − Standby Mode: 1.1 µ A − Off Mode (RAM Retention): 0.1 µ A Five Power Saving Modes Wake-Up From Standby Mode in Less Than 6 µ s 16-Bit RISC Architecture, 125-ns Instruction Cycle Time 12-Bit A/D Converter With Internal Reference, Sample-and-Hold and Autoscan Feature 16-Bit Timer_B With Three† or Seven‡ Capture/Compare-With-Shadow Registers 16-Bit Timer_A With Three Capture/Compare Registers On-Chip Comparator Serial Communication Interface (USART), Select Asynchronous UART or Synchronous SPI by Software: − Two USARTs (USART0, USART1)† − One USART (USART0)‡ Brownout Detector Supply Voltage Supervisor/Monitor With Programmable Level Detection Serial Onboard Programming, No External Programming Voltage Needed Programmable Code Protection by Security Fuse)
    2012-05-31 15:26:33下载
    积分:1
  • 3.1.19-GEC2410_LCD_HZ
    嵌入式的LCD的图片显示程序,是LCD最好的资料。(Embedded LCD picture display program is the best LCD data.)
    2013-06-15 15:57:40下载
    积分:1
  • pid-vhdl
    基于vhdl的pid控制器设计,可以用quartus等软件实现。数字控制系统pid设计源代码。(Pid controller based on VHDL design, can use the quartus software implementation, etc. Digital pid control system design of source co)
    2014-05-12 21:15:37下载
    积分:1
  • xilinx the frequency generator VHDL source code, spartan3 can run in the learnin...
    xilinx提供的频率发生器的VHDL源码,可以运行在spartan3的学习开发板上。-xilinx the frequency generator VHDL source code, spartan3 can run in the learning development board.
    2022-04-28 04:38:57下载
    积分:1
  • AXI-HP-ZYNQ
    用Vivado IPI搭建的Zynq-7000 PS到PL通信过程,使用了AXI-HP接口,利用AXI-DMA IP实现直接读写DDR的过程,软件可以配置传输尺寸。(The Zynq-7000 PS to PL communication process is built by Vivado IPI. AXI-HP interface is used, and AXI-DMA IP is used to read and write DDR directly. The software can configure the transmission size.)
    2020-12-01 20:39:27下载
    积分:1
  • 696518资源总数
  • 105722会员总数
  • 0今日下载