登录
首页 » VHDL » FPGA实现数字跑表

FPGA实现数字跑表

于 2022-05-17 发布 文件大小:17.36 MB
0 35
下载积分: 2 下载次数: 1

代码说明:

自己完成的项目,成功用vhdl语言实现数字跑表,可存储。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • crc16-CCITT
    crc-16的编码,使用的多项式是G(x)=x^16+x^12+x^5+1(generator polynomial of degree 16: G(X)=x^16+x^12+x^5+1)
    2012-12-07 13:55:21下载
    积分:1
  • SPWM
    基于FPGA的正弦脉宽调制波vhdl代码,同时输出正弦波与SPWM(Sine pulse width modulation wave VHDL code based on FPGA, at the same time with SPWM output sine wave)
    2021-04-06 23:39:02下载
    积分:1
  • 大唐电信的FPGA设计经验,内部资料,详细完整,很有参考价值...
    大唐电信的FPGA设计经验,内部资料,详细完整,很有参考价值-Datang Telecom
    2022-03-04 13:47:05下载
    积分:1
  • Top module name : SHIFTER (File name : SHIFTER.v) 2. Input pins: SHIFT [3:0],...
    Top module name : SHIFTER (File name : SHIFTER.v) 2. Input pins: SHIFT [3:0], IN [15:0], SIGN, RIGHT. 3. Output pins: OUT [15:0]. 4. Input signals generated from test pattern are latched in one cycle and are synchronized at clock rising edge. 5. The SHIFT signal describes the shift number. The shift range is 0 to 15. 6. When the signal RIGHT is high, it shifts input data to right. On the other hand, it shifts input data to left. 7. When the signal SIGN is high, the input data is a signed number and it shifts with sign extension. However, the input data is an unsigned number if the signal SIGN is low. 8. You can only use following gates in Table I and need to include the delay information (Tplh, Tphl) in your design.
    2022-06-13 02:00:08下载
    积分:1
  • shuzihongdianlu
    数字钟电路的实现,可以24小时计时,可调整时间!(Digital clock circuit implementation, a 24-hour timer, adjustable time!)
    2013-08-18 14:49:14下载
    积分:1
  • Electronic clock and simulation of VHDL procedures vhdl source code
    电子时钟VHDL程序与仿真的vhdl源代码-Electronic clock and simulation of VHDL procedures vhdl source code
    2022-01-28 11:10:39下载
    积分:1
  • U_XMIT
    8位并行转穿行发送程序,波特率可自行设置,经检验有实用效果(8-bit parallel transfer walk through the sending program, the baud rate can be set up their own practical effect inspection)
    2013-03-15 19:05:49下载
    积分:1
  • 使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上实现对一个十字路口的交通灯的控制,包括4个红绿灯...
    使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上实现对一个十字路口的交通灯的控制,包括4个红绿灯和4个2位的数码倒计时器。-The use of Altera" s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 development board to realize a crossroads traffic lights control, including four traffic lights, and four 2-bit digital countdown device.
    2022-08-06 00:18:55下载
    积分:1
  • 适用于FPGA的SOPC方面的元器件添加,如COMPNENT
    适用于FPGA的SOPC方面的元器件添加,如COMPNENT-Applicable to FPGA-SOPC area to add components, such as COMPNENT
    2022-02-10 17:06:47下载
    积分:1
  • qpsk
    QFSK的调制与解调,用C写的主程序,汇编写的调制与解调的子程序(QFSK the modulation and demodulation, with the main program in C, compile writing, the modulation and demodulation of the Subprogram)
    2020-07-01 19:20:02下载
    积分:1
  • 696524资源总数
  • 103938会员总数
  • 55今日下载