登录
首页 » VHDL » VHDL design classic, it is also useful.

VHDL design classic, it is also useful.

于 2022-05-26 发布 文件大小:36.03 kB
0 34
下载积分: 2 下载次数: 1

代码说明:

VHDL经典设计,值得参考。压缩包里面文件直接用记事本打开即可。-VHDL design classic, it is also useful.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • VESA Timing
    VESA CVT视频参数计算器,输入分辨率和刷新率即可得到需要参数。(VGA Timing Calculator)
    2020-12-23 14:29:07下载
    积分:1
  • nios2_led_one
    使用nios2点亮一个led灯,使用软件quartus13.0,开发板de2-115(nios2 led quartus13.0 de2-115)
    2013-12-11 14:32:16下载
    积分:1
  • udp
    说明:  网口UDP的FPGA仿真代码,经过测试能够实现预想功能(etherneit udp verilog fpga code)
    2020-05-26 21:55:04下载
    积分:1
  • 树式除法型开方器VERILOG实现,用于任意长度的无符号数的开方运算...
    树式除法型开方器VERILOG实现,用于任意长度的无符号数的开方运算-Square root of the tree-type divider-type device to achieve VERILOG
    2022-09-04 14:20:03下载
    积分:1
  • half_band
    半带滤波器verilog源代码,主要用于采样率变换系统中,采用乘法积累加器,很好的例子,供大家参考(Half band filter verilog code, mainly for the sampling rate conversion system, use the multiplication accumulation adder, a good example, for your reference)
    2020-12-23 10:59:07下载
    积分:1
  • Verilog的150个经典设计实例
    Verilog经典实例.包括洗衣机红路灯、兹自动方麦基、等式子可用(Classic examples of Verilog. Including red street lights for washing machines, ZAM, equation availability)
    2021-03-17 16:49:20下载
    积分:1
  • I2C总线在可编程逻辑器件上实现的VHDL源码
    I2C总线在可编程逻辑器件上实现的VHDL源码-VHDL source codes for realizing I2C
    2022-12-10 08:10:03下载
    积分:1
  • Project7_5
    基于fpga状态机的交通灯设计,亮灯时间自己修改,程序简单易懂。(Traffic light design based on FPGA state machine, light time self-modifying, the program is simple and easy to understand.)
    2020-06-18 04:00:01下载
    积分:1
  • 用VHDL语言写的VGA核心,是个很好很齐全的核心,有很多功能.
    用VHDL语言写的VGA核心,是个很好很齐全的核心,有很多功能.-write VHDL VGA core, is a very good subset of the core, has a lot of functions.
    2022-01-26 04:58:14下载
    积分:1
  • hang_us14
    Synthetic Aperture Radar (SAR) imaging simulation target, Using wavelet denoising thought, LCMV optimization design array signal processing.
    2020-08-25 20:58:14下载
    积分:1
  • 696524资源总数
  • 103945会员总数
  • 46今日下载