登录
首页 » 嵌入式系统 » 经典的dds发生器ad9851vhdl的并行通信代码,能实现6倍频和正弦波的输出。不好k我。...

经典的dds发生器ad9851vhdl的并行通信代码,能实现6倍频和正弦波的输出。不好k我。...

于 2022-07-14 发布 文件大小:576.78 kB
0 55
下载积分: 2 下载次数: 1

代码说明:

经典的dds发生器ad9851vhdl的并行通信代码,能实现6倍频和正弦波的输出。不好k我。-Dds generator ad9851vhdl classic parallel communication code, to achieve and 6 octave sine wave output. K my bad.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103945会员总数
  • 46今日下载