登录
首页 » VHDL » vhdl 实验报告 verilog rs触发器 vhdl实验 vhdl 实验 报告 verilog rs触发器 vhdl实验...

vhdl 实验报告 verilog rs触发器 vhdl实验 vhdl 实验 报告 verilog rs触发器 vhdl实验...

于 2022-12-25 发布 文件大小:95.70 kB
0 27
下载积分: 2 下载次数: 1

代码说明:

vhdl 实验报告 verilog rs触发器 vhdl实验 vhdl 实验 报告 verilog rs触发器 vhdl实验-Experimental report VHDL VHDL verilog rs flip-flop experiment experimental report VHDL VHDL verilog rs flip-flop experiment

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • DAC0832
    DAC0832的Verilog代码,适用于与ADC0809同时学习,效果明显!(DAC0832 Verilog code, applicable at the same time with ADC0809 learning, the effect is obvious!)
    2012-10-17 11:04:32下载
    积分:1
  • flash_test_24
    实现fpga 读写flash 在k7上验证(Realization of FPGA read-write flash verification on K7)
    2020-06-18 20:00:02下载
    积分:1
  • imply logic
    由忆阻器机制设计蕴含逻辑,内含testbench仿真文件(Design implied logic by memristor mechanism, including testbench simulation file)
    2019-04-24 15:42:24下载
    积分:1
  • 频率计,vhdl语言, ispDesignEXPERT
    频率计,vhdl语言, ispDesignEXPERT-Frequency meter, vhdl language, ispDesignEXPERT
    2022-11-04 19:50:03下载
    积分:1
  • 关于FPGA的书籍,介绍了大量的Verilog实例,对初学者很有帮助
    关于FPGA的书籍,介绍了大量的Verilog实例,对初学者很有帮助-Books on the FPGA, introduced a large number of Verilog examples very helpful for beginners
    2022-11-11 12:40:04下载
    积分:1
  • convotion_decode
    用verilog写的卷积码的编码程序以及viterbi译码程序(Use verilog write convolution code coding procedures and viterbi decoding program)
    2012-09-06 20:24:55下载
    积分:1
  • PS2
    基于FPGA的键盘PS第二类编码方式的verilog解码程序。基于FPGA的键盘PS第二类编码方式的verilog解码程序。(FPGA keyboard PS encoding the verilog decoding procedures. FPGA keyboard PS encoding the verilog decoding procedures.)
    2013-04-13 20:02:06下载
    积分:1
  • tongbu
    使用VERILOG开发时钟同步算法,能够从数据信号中提取时钟信息,(Clock synchronization algorithm using VERILOG developed to extract the clock from the data signal information,)
    2020-11-11 12:39:44下载
    积分:1
  • fault
    fault minimization using genetic algorithm
    2013-11-19 20:05:06下载
    积分:1
  • ECHO_DE2
    Very good info. for RS-232 echo VHDL code .
    2008-05-31 00:41:53下载
    积分:1
  • 696522资源总数
  • 104047会员总数
  • 21今日下载