登录
首页 » Verilog » 8051 核verilog源代码

8051 核verilog源代码

于 2023-01-12 发布 文件大小:247.15 kB
0 47
下载积分: 2 下载次数: 1

代码说明:

8051 核 RTL 源代码,带testbench 和综合脚本 8051 核 RTL 源代码,带testbench 和综合脚本 8051 核 RTL 源代码,带testbench 和综合脚本 8051 核 RTL 源代码,带testbench 和综合脚本 8051 核 RTL 源代码,带testbench 和综合脚本 8051 核 RTL 源代码,带testbench 和综合脚本 8051 核 RTL 源代码,带testbench 和综合脚本 8051 核 RTL 源代码,带testbench 和综合脚本   

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • lab_instructions3
    The objective of the labs today is to give you a basic understanding of FPGA design and enough experience to begin your own FPGA design with the ISE 10.1 tools and the Xilinx Spartan-3A DSP 1800A Starter Kit.
    2010-12-31 17:16:42下载
    积分:1
  • AD7768 Verilog Driver
    说明:  8通道24Bit同步A/D芯片AD7768的SPI接口例程(SPI interface routine of 8-channel 24bit synchronous A / D chip ad7768)
    2020-01-10 21:13:21下载
    积分:1
  • ad9788_spi_ctrl
    spi driver: Analog Device DAC ad9788 SPI Controller
    2015-05-19 14:03:25下载
    积分:1
  • FPGA实现1Gb以太网
    简单的以太网例程,verilog语言,vivado环境
    2022-01-28 12:02:23下载
    积分:1
  • ASKMod
    ASK调制信号的verilog VHL设计,在ise中实现了ASK信号的调制解调。(ASK modulation signal verilog VHL design, in ise to achieve the ASK signal modulation and demodulation.)
    2017-04-17 10:46:19下载
    积分:1
  • 数字手电筒
    涉及三个文件: 源文件、 鼓励文件和验证文件,可以调节整体工作的一个基本的手电筒
    2023-06-16 18:35:03下载
    积分:1
  • ds180_7Series_Overview
    对赛灵思7系列的三种型号的FPGA进行了综述(xilinx 7 productin overview)
    2012-06-13 15:04:23下载
    积分:1
  • rs_encoder
    RS编码器的fpga实现,有TESTBench(RS encoder to achieve the fpga, and TESTBench)
    2009-06-24 11:37:04下载
    积分:1
  • base_4_fft
    基4FFT原理及MATLAB实现,基本原理,编程思想等(base——4 FFT principle and MATLAB implementation, the basic principles of programming ideas, etc.)
    2016-01-28 16:52:37下载
    积分:1
  • uart_byte_rx
    说明:  libero soc工程,实现通过串口接收到单字节数据后并返回发送给上位机(Libero SOC project, which realizes receiving single byte data through serial port and sending it back to host computer)
    2020-06-21 09:20:01下载
    积分:1
  • 696522资源总数
  • 104031会员总数
  • 39今日下载