登录
首页 » VHDL » MIPS处理器的组员大作业,可以直接运行,提交,环境是quartus

MIPS处理器的组员大作业,可以直接运行,提交,环境是quartus

于 2023-05-21 发布 文件大小:2.92 MB
0 99
下载积分: 2 下载次数: 1

代码说明:

MIPS处理器的组员大作业,可以直接运行,提交,环境是quartus-MIPS processor crew great job, you can run directly, the author, the environment is quartusII

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 非常好的VHDL音乐
    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity song is    port(clk_4MHz,clk_4Hz:in std_logic;      ----预置计数器和乐谱产生器的时钟         digit:buffer std_logic_vector(6 downto 0);  ----高、中、低音数码管指示         zero:out std_logic_vector(4 downto 0);     ----用于数码管高位置低  
    2022-12-29 04:50:03下载
    积分:1
  • FIR滤波器的基本Verilog代码实现
    FIR filter basic verilog code for implementation-FIR filter basic verilog code for implementation
    2022-03-31 20:42:11下载
    积分:1
  • FPGA
    实现温度显示,接温度传感器。在4数码管上显示小数点后两位的温度(temperture of FPGA)
    2012-03-28 23:12:48下载
    积分:1
  • 1151175
    Image Embedded VHDL Code by using watermarking technique
    2013-03-14 16:53:07下载
    积分:1
  • am
    说明:  基于FPGA的用verilog语言写的,改程序可产生不同调制系数和不同频率的AM波,长按按键切换调制度25 、50 、75 和短按按键切换调制信号频率1k、1.5k、2k、2.5k.(Based on the FPGA using verilog language, change the program can produce different coefficients and different frequency modulated AM wave, long press the button to switch the modulation of 25 , 50 , 75 and short press button to switch the modulation signal frequency 1k, 1.5k, 2k, 2.5k.)
    2013-10-14 22:14:56下载
    积分:1
  • STM32F103ZEt6_NORFlash
    1、FSMC全称是静态存储控制器,用来高速操作外部SRAM,NOR,NAND等,广泛用来驱动LCD MCU的FSMC配置在fsmc_nor.c,你也可以查阅相关资料。 2、此例程通过读写外部M29W128,熟悉FSMC的配置以及操作。(1, FSMC stands for static memory controller for high-speed operation of external SRAM, NOR, NAND, widely used to drive the LCD MCU FSMC configuration in fsmc_nor.c, you can also access to relevant information. This routine by reading and writing external M29W128 familiar with the configuration and the operation of the FSMC.)
    2012-11-26 11:08:20下载
    积分:1
  • Svpwmm
    Verilog HDL 写的SVPWM 算法的实现,使用的是altera 风暴系列的FPGA,占用资源1w+逻辑宏单元(Verilog HDL ,SVPWM)
    2021-05-14 17:30:02下载
    积分:1
  • 1_Carm
    经典的OV5642的verilog驱动程序(Verilog Driver of Classic OV5642)
    2019-03-19 13:38:29下载
    积分:1
  • XAPP_585
    XAPP585 serdes_1_to_7 and serdes_7_to_1 data
    2021-02-04 13:49:57下载
    积分:1
  • xapp from xilinx very hard to find and very usefull application note from the gr...
    xapp from xilinx very hard to find and very usefull application note from the great firm from USA
    2022-01-25 23:53:59下载
    积分:1
  • 696518资源总数
  • 105895会员总数
  • 18今日下载