登录
首页 » VHDL » 正弦波在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过...

正弦波在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过...

于 2023-07-26 发布 文件大小:6.03 kB
0 19
下载积分: 2 下载次数: 1

代码说明:

正弦波在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-sine wave in dspbuilder under VHDL source code and test incentives document matl ab model, the simulation under through modelsim

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • fdivision
    在quartus平台下,并使用verillog hdl编写的时钟分频仿真(In quartus platform and use verillog hdl write clock divider simulation)
    2016-08-15 07:45:12下载
    积分:1
  • fir4btp
    4tap FIR filter in verilog code
    2014-01-13 22:30:58下载
    积分:1
  • 用VHDL语言写的,音乐演奏程序,范例歌曲为“青花瓷”片段。...
    用VHDL语言写的,音乐演奏程序,范例歌曲为“青花瓷”片段。-Using VHDL language, and music performance procedures, examples of songs as
    2022-05-30 16:58:33下载
    积分:1
  • FPGA将从CY7C68013读到的数写入SRAM
    FPGA将从CY7C68013读到的数写入SRAM-FPGA will read a few CY7C68013 write SRAM
    2022-04-09 09:00:14下载
    积分:1
  • Altera-LVDS_IP
    自己总结的Altera_LVDS的IP核的设计及仿真分析,已在实际工程中应用到,并且带有源代码和仿真代码,总结的文档,非常有用。(My summary of the Altera_LVDS IP kernel design and simulation analysis, has been applied in practical engineering, and with source code and simulation code, summary of the document, very useful.)
    2020-12-16 14:39:13下载
    积分:1
  • FPGA
    基于FPGA的I2C程序0001,很不错的论文及程序,,大家快下啊-FPGA-based procedures I2C 0001, a very good paper and procedures, we quickly under ah
    2022-08-17 00:37:15下载
    积分:1
  • mmuart
    简单uart,verilog语言编写,已经经过测试,有需要的可以看看(Simple uart, Verilog language, has been tested, you can see if you need it)
    2020-06-23 20:00:01下载
    积分:1
  • verilog-2-1-4
    卷积码(2,1,4)编解码的FPGA实现(Convolution code (2,1,4) decoding the FPGA implementation)
    2020-12-27 21:09:02下载
    积分:1
  • 16bit-multiplier
    实现verilog16位乘法器,verilog新手(achieve 16-bit multiplier)
    2021-04-01 21:09:08下载
    积分:1
  • file_read vhdl code provide by my teacher for reading file into FSM
    file_read vhdl code provide by my teacher for reading file into FSM-file_read vhdl code
    2022-03-12 05:55:24下载
    积分:1
  • 696524资源总数
  • 103833会员总数
  • 52今日下载