登录
首页 » Verilog » MAX2769配置源码_配置参数经过实际工程考验

MAX2769配置源码_配置参数经过实际工程考验

于 2023-09-04 发布 文件大小:2.86 kB
0 35
下载积分: 2 下载次数: 1

代码说明:

对常用的射频端下变频的MAX2769芯片进行GPSL1频点配置,实测有效,该配置参数是经过实际工程验证的,现在也应用于实际项目中

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • VisonFly-D4100-SDK
    DLP Discovery 4100 数字微镜(DMD)空间光开关光调制器开发系统 1.全面兼容德州仪器TI DLP D4100 开发系统. 能够支持1920X1080 DMD(DMD微镜为10.6微米,本征分辨率为1920X1080) 数字微镜(DMD)空间光开关光调制器开发系统 2. 1024 X 768 的DMD(4:3)有两种微镜结构,一种是13.68 微米, 对角线长度为0.7 英寸;另一种是10.8 微米的,对角线长度为0.55 英寸;我们系统都能支持所有主流分辨率DMD 3. 支持USB2.0 高速度传输图片和控制信号 4. 开放式控制软件基于Windows XP 全速度USB驱动,在Visual Basic 下编制,开发式接口, 易于高精度光学科研实验 5. 提供丰富的Windows XP 的USB 控制程序和API 开发系统 6. 支持XGA, 1080p 和1920x1200 分辨率单个微镜精确控制 7. 开放式FPGA 架构, 提供示例FPGA 的二次开发选择和客户 定制功能 8. 高速二进和任意灰度制图片显示 输入输出系统触发,支持通 用客户顶GPIO 口设置. 9. 我们能为客户提供全程独特定做和设计服务. 应用: 结构光投影,激光全息,无掩模光刻,高光谱成像,激光光束校形, 3D 测量和3D 打印机技术, 光谱分析. Jefferson_zhao@163.com(DLP DMD Discovery 4100)
    2014-01-20 16:07:15下载
    积分:1
  • fft_16
    基于FPGA用verilog语言实现16点FFT(16-point FFT FPGA-based verilog language)
    2021-04-18 15:28:51下载
    积分:1
  • pipeline_FPGA
    FPGA流水线设计的资料,可以作为学习FPGA开发并行操作的一个经典教材,具有很好的指导作用。(FPGA pipeline design information can be developed as a learning FPGA parallel operation of a classic textbook, has a good guide.)
    2011-07-02 12:00:57下载
    积分:1
  • Verilog-design-and-identify-book
    找到这本书的完整版了。呵呵,贴出来和大家共享。这是本好书,我买了一本作为Verilog的参考书。这本书语法部分集中,便于查阅,此外讲了很多实用的设计思想。最重要的是本书薄,可以完整看完。强烈推荐。 (如果只是查阅,电子版就可以,如要完整学习,建议还是买纸质版的)(Find the full version of this book. I posted and share. This is a good book, I bought a reference book as Verilog. Syntax in this book section focuses on ease of reference, in addition to speaking a lot of useful design ideas. The most important thing is that the book is thin, you can complete reading. Highly recommended. (If you only access the electronic version to complete learning, suggestions or to buy the paper version))
    2012-06-07 21:58:19下载
    积分:1
  • BCH_EncDec_Matlab
    bch编解码的完整版,本人已经做过fpga实现,就是按照该程序为原型,绝对可运行(bch decoding the full version, I have done fpga implementation is in accordance with the procedure for the prototype, can certainly run)
    2011-10-27 21:55:11下载
    积分:1
  • cordic 的verilog 代码
    这是一个关于 VLSI 设计项目。主题是设计用于CORDIC (为 CO纵坐标 请点击左侧文件开始预览 !预览只提供20%的代码片段,完整代码需下载后查看 加载中 侵权举报
    2022-08-18 23:53:13下载
    积分:1
  • DAC0832VHDL
    DAC0832 接口电路程序.功能:产生频率为762.9Hz的锯齿波DAC0832VHDL程序与仿真(DAC0832 procedures interface circuit. Functions: generate the sawtooth frequency of 762.9Hz and simulation procedures DAC0832VHDL)
    2020-11-28 12:59:31下载
    积分:1
  • breath
    利用verilog写的PWM 程序,来实现产生呼吸灯的效果。(Using xerilog to generate breathing lamp)
    2020-06-17 04:40:01下载
    积分:1
  • LM
    说明:  用于生成adams或recurdyn所需的路面不平度,用于悬架或其他的仿真(Adams or recurdyn used to generate the required road roughness for suspension or other simulation)
    2013-10-15 17:38:48下载
    积分:1
  • tiny-dnn-1.0.0a2
    说明:  在zedboard上运行的神经网络架构,方便移植。(Run lenet-5 on zedboard)
    2020-06-23 19:00:02下载
    积分:1
  • 696524资源总数
  • 103886会员总数
  • 81今日下载