登录

最新会员 最新下载

成为了本站VIP会员

04月26日 23:14

成为了本站VIP会员

04月25日 21:33

成为了本站VIP会员

04月23日 14:46

成为了本站VIP会员

04月18日 20:09

成为了本站VIP会员

04月18日 18:37

成为了本站VIP会员

04月18日 18:25
已选条件
  1. 编程语言:Verilog
  2. 代码类别:Windows编程
  3. 发布时间:不限
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. Final_final_test

  五级流水CPU设计 流水线是数字系统中一种提高系统稳定性和工作速度的方法,广泛应用于高档CPU的架构中。根据MIPS处理器的特点,将整体的处理过程分为取指令(IF)、指令译码(ID)、执行(EX)、存储器访问(MEM)和寄存器会写(WB)五级,对应多周期的五个处理阶段。一个指令的执行需要5个时钟周期,每个时钟周期的上升沿来临时,此指令所代表的一系列数据和控制信息将转移到下一级处理。(Five level flow CPU design)

9
下载
182
浏览
2020-10-18发布

2. SRAM

  SRAM读写测试实例,每秒钟进行一次单字节的SRAM 读和写操作,用chipscope查看时序波形。(SRAM read and write test instances, each time a single byte SRAM Read and write operations, use chipscope to see the timing waveform.)

2
下载
93
浏览
2017-09-06发布