登录

最新会员 最新下载

成为了本站VIP会员

04月26日 23:14

成为了本站VIP会员

04月25日 21:33

成为了本站VIP会员

04月23日 14:46

成为了本站VIP会员

04月18日 20:09

成为了本站VIP会员

04月18日 18:37

成为了本站VIP会员

04月18日 18:25
已选条件
  1. 编程语言:VHDL
  2. 代码类别:其他
  3. 发布时间:不限
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. PWM_usingENCODE

  FPGA Boards - Spartan 3 E Starter Kit

4
下载
92
浏览
2017-07-19发布

2. counter (2)

  This tutorial introduce VHDL code for clock pulse and 4-bit counter. With four bits, the counter count from 0 to 15. The timing of the counter is controlled by a clock signal. There will be a clear signal which can reset the counter value.

1
下载
71
浏览
2017-07-18发布

3. alu

  Vhdl code for aarithmetic logic unit

1
下载
74
浏览
2017-07-08发布

4. piso8_ok_bingchuanzhuanhuan

  本程序是用vhdl开发的实现并串转换功能的程序。(This procedure is developed using VHDL implementation and string conversion function of the program.)

3
下载
98
浏览
2017-06-07发布

5. sigmoid_FPGA

  sigmoid函数硬件实现,verilog代码及其测试用例(Sigmoid function hardware implementation, verilog code and test cases)

8
下载
79
浏览
2017-05-08发布

6. FPGA-timing-constraints

  基于Verilog的FPGA设计时序分析约束详细解释与使用方法(FPGA timing constraints)

7
下载
154
浏览
2017-04-24发布

7. chenxu

  电子时钟,可以显示四位,两位显示分钟,两位显示秒,可以用按键控制清零,以及加减数(Electronic clock, you can display four bit, two bit display minutes, the second display seconds, can be used to control the key to clear, and the addition of subtraction)

1
下载
116
浏览
2017-04-22发布

8. clk_div3

  基于XIlinx ISE,用Verilog语言实现3分频电路,适合初学者(Based XIlinx ISE, Verilog language using the frequency dividing circuit 3, suitable for beginners)

1
下载
79
浏览
2017-04-03发布

9. VHDLrefencebook

  doulos公司出的VHDL学习工具,非常易学易懂!~(doulos company out of the VHDL learning tool, very easy to understand! ~)

2
下载
71
浏览
2016-10-09发布

10. hammingaTB

  Design HDL code for a circuit that calculates the Hamming distance of two 8-bit inputs.

1
下载
79
浏览
2013-11-06发布

11. hammingaTB

  Design HDL code for a circuit that calculates the Hamming distance of two 8-bit inputs.

1
下载
57
浏览
2013-11-06发布

12. Design-Compiler-Reference

  dc的应用规范,以及一些基础的操作指导,注意事项等(dc application specification, as well as some basic instructions, precautions, etc.)

1
下载
70
浏览
2013-08-13发布

13. Design-Compiler-Reference

  dc的应用规范,以及一些基础的操作指导,注意事项等(dc application specification, as well as some basic instructions, precautions, etc.)

1
下载
63
浏览
2013-08-13发布

14. sp6des

  串行数据开发实用代码, 适合初级学习者使用 很不错(Serial data to develop a practical code for primary learners use very good)

1
下载
101
浏览
2013-01-10发布

15. 112

  用MSP430进行压力测试,完成数据的分析(with msp430 to continue on press test.to complete about the anlysys about data)

4
下载
75
浏览
2012-08-31发布

16. AHBPAPB

  AMBA总线的AHB+APB源程序,供初学者学习。(Verilog for AHB and APB)

67
下载
117
浏览
2012-07-11发布

17. i2c_peri_demo_revC1

说明:  I2C 从设备通讯应用示范程序,用于I2C设计验证(I2C slave communication application demo program, used to for I2C design verification )

0
下载
68
浏览
2010-03-18发布

18. FPGA

  学习FPGA的资料,基于FPGA的卡尔曼滤波器的设计与实现(Learning FPGA information, FPGA-based Design and Implementation of Kalman Filter)

165
下载
154
浏览
2010-03-15发布

19. frequency_generator

  DDS in our camera design

5
下载
65
浏览
2010-02-26发布

20. PS2LCDController

  PS2键盘LCD显示控制器的vhdl代码,很难得(PS2LCDController vhdl code)

10
下载
65
浏览
2010-02-10发布