登录
首页 » Others » 基于Qt5的串口调试助手

基于Qt5的串口调试助手

于 2020-12-09 发布
0 222
下载积分: 1 下载次数: 2

代码说明:

入学考核导师要求做一个串口调试助手,在VS与Qt之间果断选择了更易上手的Qt,在此之前并没有接触过Qt,菜鸟一只,为此在网上参考了不少大神的程序,结合导师的要求已经初步完成了基本功能,在此分享一下自己的小小经验教训。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 红色飓风E45开发板实验手册与用户手册
    红色飓风E45 FPGA开发板的实验手册与用户手册,很好的学习资料REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册目录前言实验指导手册使用说明:第一章使用介绍1概述2lSL122设计过程63建立工程设计输入5设计仿真6综7管脚分配148设计实现159程序下载.1610调试与在线逻辑分析仪的使用19第二章数字电路与数字系统实验第一部分基础实验实验一3/8译码器.25实验二十进制计数器实验36-实验三按键及拨仍开关实验实验四蜂鸣器“梁祝”乐曲演奏38实验五LLD流水灯实验.实验六数码管扫描显小-40-实验七字符型ICD显示实验43-第二部分中级实验实验八串口通信实验45实验九VGA输出八色彩条实验-49-实验十VGA显示乒乓球实验实验十一PS2显示LCD实验实验十二PS2显小串口实验56实验十三PS2鼠标显示LED实验实验十四分频器设计实验实验十五正弦函数发生器实验…实验十六AD转换实验68-第三部分高级实验实验十七IR滤波器实验|八USB输入输出实验∴…实验十九音频输入输出实验…∴84-北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册第四部分存储器实验实验二|双口RAM仿真实现85实验二十一SRAM读写实验…-86实验二十二 EEPROM读写实验-89-实验二十三 SDRAM读写实验第五部分软嵌入式系统操作讲解北京威视锐科技有限公司Web(中国)(国际REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册第一章使用介绍概述ISE是使用ⅩLLNX的FPGA的必备的设计工具,它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大,对于大多数FPGA设计者米说,使用ISE就可以完成设计任务,取得满意的效果。这个实验手册针对的ⅠSE版木是12.2,ISD12.2增强了部分可重配置功能,逻辑综合平均速度提升了2倍,大型设计实施运行速度加快了1.3倍,同吋强化了嵌入式设计的方法。当然,用其他版本的ISE也能进行手册上的实验。北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册设计过程设计验证设计输入行为仿真综功能仿真设计实现「静态时序时序仿真配置下载在线调试图1.2.1ISE设计过程建立工程双击桌面上的 Xilinx ise12.2的快捷图标启动ISE集成开发环境。新建工程,如图1.3.1,1.3.2和1.33所示:北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册Enter a name locatios, and comment for the projectsmp日LI:V3FPGh1Worki:g Directory: D: V3FPGhTop-levelP比LLMlxg工nf图1.3.1Project SettinGspecify device and project propertie⊥ ow for thErice距CES瓶45Loperty Specification in Project Fiore notrdefault values olyAnalysis stV山L3三b1。 Message fi1tCancel图1.32北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册File edit y1E No single design modile4回5图1.3在新建工程时,需要注意一下几点(1)工程名,最好用英文不要有汉字,因为ISE下有些工具对于含有汉字的文件目录支持不是很好。(2)仿真工具 Modelsim-SE是第三方软件,需要另外安装。也可使用ISE自带的Isim。设计输入1.设计输入就是将一个概念设计转换为使件描述的过程。可以利川多种不同方式打开新建源代码智能向导,如图1.4.1所示:2.ISE支持多种新建文件类型,如图14.2所示:IP( coregen& Architecture Wizard)ISE软件中提供的各种IP。Schematic:原理图源代码。User document:用户文档。● Verilog Module: Verilog模块Verilog Test Fixture: erilog模块测试激励北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentN∈ FPGA Design Expert红色飓风E45实验手册● VHDL Module:VHDL模块VHDL Library:VHDL厍文件。VHDL Package:VHDL包文件。● Embedded Processor:嵌入式处理器文件等各种不同的类犁。seISE Project Navi gator (M63c)-D:v3FPGAex ample.xiseFile edit View Project Source PrTools indow LHelp百Ⅹview:图mp1 em entail M Si熊部145-3fge484新建源文件Lew SourceThe vie目 dd sourceFiles. yorAdd Copy of SourccommanManual Compile OrderTEnu anp工 mplement Top ModuleprOpRFile/Path Displ图1.4.1新建源代码方式selecrca type, file name and its lD目P地Verilog Modulverilog fes. F:FilMore lntHCancel北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册图1.4.2工程所支持的文件格式3. Verilog或VHDL源代码输入模板ISE软件提供了很多源代码模板用使用者参考设计,如图1.4.3所示:I: 13FFile edit vien prL4□百XW队a:M3m,/ Notc: CLI muot bc dcfinc回amyl彐中□ Levice fEcr I,ss begi白uk3Lnu1u拜PER-D/2sRu⊥,LBE tTon-50% Duty C由-□卫1ays9|2n中 c synthesize-XsT由2 Implant3ai8DesLanguage Tenlates EError且Br0rs四【x出1AC的11s取:图14.3源代码模板4.源代码语法检查。源代码设计完成后,在进行其他操作以前需要先对设计源代码进行语法检查,保证没有语法错误。双 Synthesize -XST下的 Check Syntax,即进行语法检查,如图1.44所示:北京威视锐科技有限公司Web(中国)(国际)
    2020-11-30下载
    积分:1
  • 分类画混淆矩阵的代码
    分类结果画混淆矩阵 采用matlab实现。
    2020-11-03下载
    积分:1
  • fandisk点云数据,asc文件数据,三维模型扫描数据,asc格式
    佛像模型三维点云扫描数据,asc格式文件,点数容量为10万
    2020-03-07下载
    积分:1
  • Labview串口通讯实例
    Labview串口通讯实例,可以直接运行,主要简单的演示LABVIEW的串口如何编写,可以与串口调试助手通过虚拟串口直接通讯。
    2021-05-07下载
    积分:1
  • 基于DSP的恒压频比控制SVPWM方式的研究和实现
    利用数字信号处理器TMS320F240产生基于恒压频比控制的对称SVPWM调制波形。第23卷第4李等:基于ISP的恒压频比掉制 SVPWM方式的饼究和实现69平衡,引起较大的电流谐波4方法一软作编写较复杂但儿始三相电流平衡,电流谐波较小,响DSP的强大处理能力及运行速度能实现复杂时算法和具有良好的实时性,某于上述根据1le和电北的速度汗6考虑因此本文采用力法实现 SVPWM波形的产生。3基于TMS320240的SPwM波形的产根拈江,的角用亡所有内象压生本文采用TI公司专为电机控制而推出的一种定点数舶腴躯到案菠,出≤n(Th[A)eo5(l字信号处坦器TMS32F240,产生恒压频比制下SⅤPwM波形计銲mr门d-相分量事件管理模块是整个控制系统的关键,首先更对它进;算山n,亡约过行正确的配置。本系统选取丛HWM的截波频率为1∠kHz处区时间为32us,与 SVPWM波形产生相关的EV(事件管算I1,21的玫值弹)模块的初始化如图6所示m的据值是根据电机的u/∫曲线来确定,采用∫的指令值求出vn的幅值am的相根据un所在的区,裁全较打图位变化2可由定时器T:的定时周期T(TwmM)和电机角速度a1求出。根据角判断m所在的象限,为减少所占据的程序存储空问,只配备0~90的正弦函数表找出9角在第一象限的对应角度,并求解出x的dq轴分量和图7SHWM生成子彩序凉程图L。根据式(4)求解T1,T2,其中U1∠、U2分别为主辋矢4结论量的d轴分量,L1、21为主辅矢量的q轴分量。uU图8为本文实验时输出的 SVPWM词制波(调制波为TPWMl Ud Ua]=Iti t2 x(4)3OHZ,酸波为12kz)经滤波后所得波形可以看出,SVP则T0=Trw“T1“T2,根据vm所在的扇区,分别把0wM的调制波相当于在原正弦波上叠加了一个三次谐波25Tc,0.25T0+0.571,0.25T+0,5T1+0.572装载CM和准优化PWM有异曲冋工之处,其实质也是一种带谐波注入的调制方法PR1CMPR2、CMPR3。初始化比较控制寄存器 COMCON1、SCM321=11,输出为PW牒式FCOMIPCE=1,金比铰輪出使舱ACTRLO10=00,下激时重装载A4、D1000下设时重装戴CMPR5、比按使能 CENAELE初始化全比较动作控制寄存器ACTR设意引脚输出授性(1、3、5为低有效,2、4、6有效医8SⅤPWM调铜波始化死区控制寄存舞 DErCO、使鹿死区足时器PB3:j=111参考文献改置死区定时器的预定标因于 DBTPS:0=1设置死区时间DBr70=目,本系统设置死区时间为32s11 BROECK H W, Analysis and Realization of a pulsewidth mocu[J IEEE TrA初始化通用定时器1的挖制寄存器TON198,24(1):142~150设置计数擲式为连续增、减Tmod21:0=10!2]王研,杜军红,陶佧宜,等基于LP的空间电压矢量法的研究[J]电机与控制学报,200,2:98调制频半设为K出(Te=83),因此设置T】PR=34h「3韩安太,峙飞黄海LSP控剖器原理及其在运奷控制系统中的应用M]北京:清华大学出版社,2003「4.王潞刚基于DP的异步电动机 SVPWM系统研究[D],东工业大学硕二沦文,2002图6与 SVPWM生成相关的EV初始化r5 Spacc-Vcctor PWM wita TMS320C24X/F24x Using lardand ssedR]. TI I产生SⅥwM波形的子程序流程图如图7所示。March 199916] Using Coustant V H Principle Space Vector PWM Techor Ac InsteonⅣ otor cth’C240R]T!i
    2020-12-08下载
    积分:1
  • 4个lstm做数据预测的案例源代码,包括比特币行情预测、天气预报等
    4个lstm做数据预测的案例源代码,包括比特币行情预测、天气预报等
    2020-11-28下载
    积分:1
  • 真正实现了STM32 HAL串口不定长数据的接收发送功能(DMA方式,不用限定单次接收长度和添加结束标志)
    网上使用HAL串口时很多都没有实现不定长数据的接收,要么是限定数据长度,要么是加“0x0a 0x0d”来控制接收完成,找了很久都没有真正找到一个能用的,在很多通信中不可能是定义的,或是加上“0x0a 0x0d”来实现的,由于项目需要,自己阅读STM32 HAL的文档,写出了一个Demo程序,可以实现回显功能(就是通过给STM32发送不定长的数据,可以实现一模一样接收发送的数据),在STM32F429IGT6上验证过,跑了一天没有出现丢失数据的问题,使用DMA发送与接收方式,可以释放CPU部分运算资源,程序中的UART_RX_BUF_SIZE定义为128,就是一次最大接收为128,我在项目中通过
    2020-11-27下载
    积分:1
  • 基于粒计算改进混合蛙跳算法及应用
    基于粒计算改进混合蛙跳算法及应用,是通过在粒子群算法和蛙跳算法两者中各取优点对智能算法进行改编。
    2020-11-04下载
    积分:1
  • 变频器实用电路图集与原理图说
    变频器主电路图、电源电路、脉冲驱动电路、CPU等图的原理解释。
    2020-12-06下载
    积分:1
  • labview中的DAQ助手采集多个通道电压.vi
    labview
    2021-05-06下载
    积分:1
  • 696524资源总数
  • 103833会员总数
  • 52今日下载