登录
首页 » VHDL » I2C端口的FPGA实现,网上较多,但发现不少有问题,这个是在网上代码的基础上修改过,验证可行。...

I2C端口的FPGA实现,网上较多,但发现不少有问题,这个是在网上代码的基础上修改过,验证可行。...

于 2022-01-26 发布 文件大小:604.00 B
0 43
下载积分: 2 下载次数: 2

代码说明:

I2C端口的FPGA实现,网上较多,但发现不少有问题,这个是在网上代码的基础上修改过,验证可行。-I2C port FPGA, online more, but found that many problems This is a code on the Internet on the basis of the revised test feasible.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • LCD 因其轻薄短小,低功耗,无辐射,平面 直角显示,以及影像稳定等特点,当今应用非常 广泛。CPLD(复杂可编程逻辑器件) 是一种具有 丰富可编程...
    LCD 因其轻薄短小,低功耗,无辐射,平面 直角显示,以及影像稳定等特点,当今应用非常 广泛。CPLD(复杂可编程逻辑器件) 是一种具有 丰富可编程功能引脚的可编程逻辑器件,不仅可 实现常规的逻辑器件功能,还可以实现复杂而独 特的时序逻辑功能。并且具有ISP (在线可编 程) [1 ] 功能,便于进行系统设计和现场对系统进 行功能修改、调试、升级。通常CPLD 芯片都有 着上万次的重写次数,即用CPLD[ 2 ] 进行硬件设 计,就像软件设计一样灵活、方便。而现今LCD 的控制大都采用专用控制芯片,且一般都采用进 口芯片,成本较高。并且为了保证在特定环境下 控制芯片能正常工作,往往要加上必需的与门、 非门、以及HC244 ,HC245 、HC373 等元件,这样 不仅提高的成本,也因分立元件的引入而降低了 电路的可靠性。本设计的目的是采用Xilinx 公 司生产的一片XC95288 和一片XC95144 来实现 LCD 控制器以及其外围控制,时序逻辑的全部 功能,使得LCD 控制系统故障率和开发成本大 大降低,并使LCD 控制系统有强大的功能可扩 展性。-err
    2023-07-11 03:35:03下载
    积分:1
  • 用VHDL语言将二进制数据转换成十进制数据,并将十进制的每一个位分离出来单独存放。使用状态机实现,程序简单,仿真效果很理想,占用可编程器件的资源较少。...
    用VHDL语言将二进制数据转换成十进制数据,并将十进制的每一个位分离出来单独存放。使用状态机实现,程序简单,仿真效果很理想,占用可编程器件的资源较少。-VHDL language with the binary data into decimal data and decimal places separated from each store individually. Realize the use of state machine, the program is simple, simulation results are satisfactory, occupation of programmable devices have fewer resources.
    2023-03-27 15:30:04下载
    积分:1
  • phase
    设计了一基于现场可编程门阵列(FPGA)的低频数字式相位测量仪。该测量仪包括数字式移相信号发生器和相位测量仪两部分,分别完成移相信号的发生及其频率、相位差的预置及数字显示、发生信号的移相以及移相后信号相位差和频率的测量与显示几个功能。其中数字式移相信号发生器可以产生预置频率的正弦信号,也可产生预置相位差的两路同频正弦信号,并能显示预置频率或相位差值;相位测量仪能测量移相信号的频率、相位差的测量和显示。两个部分均采用基于FPGA的数字技术实现,使得该系统具有抗干扰能力强, 可靠性好等优点。()
    2008-05-10 14:51:06下载
    积分:1
  • PN_GEN
    说明:  一个PN序列发生器,大M序列,供参考学习,(A PN sequence generator, the M series, for reference study,)
    2008-10-20 13:46:45下载
    积分:1
  • flash
    本程序是fpga控制flash的读写程序,包括了程序和仿真时的测试文件(fpga flash)
    2013-07-21 14:47:36下载
    积分:1
  • Verilog 经典实例,完整源码与大家分享
    Verilog 经典实例,完整源码与大家分享-Verilog classic example of a complete source to share with you
    2022-07-03 12:56:56下载
    积分:1
  • Verilog-detail
    不错的verilog学习语言资料,详细地对verilog语言中的重要语句应用进行分析。(A good the verilog learn language information, verilog language statement application.)
    2013-03-26 13:01:23下载
    积分:1
  • 4ASKmod2
    讲述4ASK的原理并附有matlab调制解调的源码。。。。。。。。。。 注:原来上传的4ASKmod.zip不要下(The principle tells 4ASK together with modulation and demodulation matlab source. . . . . . . . . . Note: The original upload 4ASKmod.zip not down)
    2013-07-10 00:01:10下载
    积分:1
  • fpga1394
    这是一段控制1394芯片的cpld的verilog程序,可以参考,在实际项目中已经采用.(This is a control chip cpld 1394 Verilog the procedures, they can refer to the actual project has been adopted.)
    2005-03-31 16:09:51下载
    积分:1
  • xspUSB
    关于usb调试相关测试 代码,用于测试和适配等(usb coding for testing , verigy, for studing usb and fpga)
    2020-06-22 23:00:01下载
    积分:1
  • 696532资源总数
  • 103574会员总数
  • 133今日下载