登录
首页 » VHDL » 一个用VHDL完成的8位数显的16进制的频率计

一个用VHDL完成的8位数显的16进制的频率计

于 2022-01-31 发布 文件大小:5.03 kB
0 44
下载积分: 2 下载次数: 1

代码说明:

一个用VHDL完成的8位数显的16进制的频率计-a VHDL completed 8 of 16 significant median band of frequency meter

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • This is achieved using VHDL positive and negative pulse width modulator, the sam...
    这个是用VHDL实现的正负脉宽调制器,同样是对新手有帮助,高手不必看了。-This is achieved using VHDL positive and negative pulse width modulator, the same is to help novice, you do not have to read. Ha ha
    2022-06-19 04:51:41下载
    积分:1
  • Routine application of this experiment in the Actel Flash architecture ProASIC3/...
    此实验例程适用于Actel Flash架构的ProASIC3/E系列FPGA,适合于FPGA及Verilog HDL的初学者,配套EasyFPGA030开发套件。-Routine application of this experiment in the Actel Flash architecture ProASIC3/E series FPGA, fit in the FPGA and Verilog HDL for beginners and supporting development kit EasyFPGA030.
    2022-05-14 23:14:31下载
    积分:1
  • VHDL写的串口,很好用,程序非常简单,可以调试用
    VHDL写的串口,很好用,程序非常简单,可以调试用-Written in VHDL serial, very good, and the procedure is very simple, you can debug with
    2022-08-08 18:58:10下载
    积分:1
  • i2c
    uboot i2c driver code for arm a5 dual core cpu imapx820, which is an soc of infotmic.
    2012-10-18 21:51:29下载
    积分:1
  • 上海外滩看到的最大的LED显示屏的内核源代码,主要是完成视频信号的远距离传输的编解码与接口转换...
    上海外滩看到的最大的LED显示屏的内核源代码,主要是完成视频信号的远距离传输的编解码与接口转换-Shanghai Bund to see the largest LED display in the kernel source code, mainly to complete the long-distance video signal transmission codec conversion and interface
    2022-01-25 18:54:47下载
    积分:1
  • 本文介绍了一个使用 VHDL 描述计数器的设计、综合、仿真的全过程,作为我这一段 时间自学 FPGA/CPLD 的总结,如果有什么不正确的地方,敬请各位不幸...
    本文介绍了一个使用 VHDL 描述计数器的设计、综合、仿真的全过程,作为我这一段 时间自学 FPGA/CPLD 的总结,如果有什么不正确的地方,敬请各位不幸看到这篇文章的 大侠们指正,在此表示感谢。当然,这是一个非常简单的时序逻辑电路实例,主要是详细 描述了一些软件的使用方法。文章中涉及的软件有Synplicity 公司出品的Synplify Pro 7.7.1; Altera 公司出品的 Quartus II 4.2;Mentor Graphics 公司出品的 ModelSim SE 6.0。 -This article describes a VHDL description of the use of counter design, synthesis, simulation of the entire process, this time as my self-FPGA/CPLD summary, if what has not the right place, please see this article that, unfortunately, the heroes They correct me, wish to express my gratitude. Of course, this is a very simple example of sequential logic circuit is mainly a detailed description of a number of software usage. Article involved in the software company has produced Synplicity
    2022-02-28 11:38:34下载
    积分:1
  • SVPWM-VHDL
    fpga永磁同步电机矢量控制系统,包括死区等模块(fpga foc)
    2016-06-13 19:53:32下载
    积分:1
  • subway-ticket-vending-system
    本设计是基于FPGA设计一个地铁自动售票系统。 本设计采用自顶向下的模块化设计方法,基于FPGA使用VHDL语言设计制作一个地铁自动售票控制系统,该系统能出售2条线路3种不同价位的票,完成售票、找零、显示等功能。(The design is based FPGA design of a subway ticket vending system. This design uses a top-down, modular design method, a subway ticket vending control system based on FPGA using VHDL language design, the system can sell two lines of different priced tickets, complete the ticket, give change, display and other functions .)
    2013-02-27 12:59:49下载
    积分:1
  • Fmc880511P
    可在FPGA上运行的8051 IP coore,是学习FPGA及SPOC的好资料。 (8051 IP coore, can be run on the FPGA is good information to learn FPGA and SPOC.)
    2012-06-11 18:59:13下载
    积分:1
  • AD9226_easy
    基于赛林思FPGA芯片, 控制采集芯片AD9226的程序(FPGA control AD9226 program)
    2020-12-06 21:09:22下载
    积分:1
  • 696522资源总数
  • 104029会员总数
  • 31今日下载