登录
首页 » VHDL » VHDL_COUNTING 0_9_LED 7 段 (ĐẾM 0 ĐẾN 9 HIỂN THỊ LED 7 ĐOẠN BẰNG NGÔN NGỮ VHDL)

VHDL_COUNTING 0_9_LED 7 段 (ĐẾM 0 ĐẾN 9 HIỂN THỊ LED 7 ĐOẠN BẰNG NGÔN NGỮ VHDL)

于 2022-02-11 发布 文件大小:222.63 kB
0 37
下载积分: 2 下载次数: 1

代码说明:

VHDL_COUNTING 0_9_LED 7 段 (ĐẾM 0 ĐẾN 9 HIỂN THỊ LED 7 ĐOẠN BẰNG NGÔN NGỮ VHDL)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 用VHDL实现的自动售货机,可供毕业设计参考。
    用VHDL实现自动售货机,可供毕业设计参考。可以输入硬币和纸币,硬币的识别范围是5角和1 元的硬币,纸币的识别范围是1 元、5 元,10 元,20元,50元,100元。可以连续多次投入钱币。可以选择的商品种类有16种,价格分别为1-16元,顾客可以通过输入商品的编号来实现商品的选择。 即有一个小键盘(0-9按键)来完成,比如输入15时要先输入1,再输入5。顾客选择完商品后,可以选择需要的数量。每次可以选择最多三个商品。然后显示出所需金额和已投币总币值。在投币期间,顾客可以按取消键取消本次操作,钱币自动退出。
    2023-04-12 13:35:05下载
    积分:1
  • 一个基于C51指令系统的简易uCOS示范程序,有完整的代码分析
    一个基于C51指令系统的简易uCOS示范程序,有完整的代码分析-A command system based on the C51 model uCOS summary procedures, have a complete code analysis
    2022-06-01 23:18:00下载
    积分:1
  • Servo
    VHDL servo control from technique of Pulse Width Modulation (PWM )
    2014-10-10 15:34:33下载
    积分:1
  • VHDL_PS2
    Spartan3e keyboard ps2
    2010-01-28 18:38:40下载
    积分:1
  • MAX531串行DA芯片的VHDL驱动,我应经在实际工程中试验过!
    MAX531串行DA芯片的VHDL驱动,我应经在实际工程中试验过!-MAX531 serial DA chip VHDL driver, I shall be in the actual project tested!
    2022-02-05 14:43:19下载
    积分:1
  • 可编程逻辑设计快速入门指南从西林有限
    Programmable Logic Design Quick Start Guide from Xilin Co.
    2022-03-19 03:08:54下载
    积分:1
  • RS232 data transmitter, suitable for beginners VHDL reference
    RS232数据发送器,适合于VHDL的初学者参考-RS232 data transmitter, suitable for beginners VHDL reference
    2022-03-15 09:13:00下载
    积分:1
  • SPWM
    FPGA上用verilog写的SPWM控制程序,完美运行!自由调试,毕设内容,十分宝贵(The SPWM control program by verilog FPGA perfect run! Free commissioning, Bi-based content, invaluable)
    2013-05-05 21:36:10下载
    积分:1
  • FFT
    FFT with FIR created by students in univercity
    2015-06-22 14:57:30下载
    积分:1
  • 通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。
    通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。 -Universal Serial Asynchronous Receiver Transmitter 8251 the Verilog HDL source code, through simulation.
    2022-05-22 23:15:29下载
    积分:1
  • 696524资源总数
  • 103930会员总数
  • 47今日下载