登录
首页 » VHDL » xilinx xc9572 cpld 实现的伺服电机控制器,电机控制输出,和增量编码器读取。...

xilinx xc9572 cpld 实现的伺服电机控制器,电机控制输出,和增量编码器读取。...

于 2022-07-13 发布 文件大小:779.30 kB
0 61
下载积分: 2 下载次数: 1

代码说明:

xilinx xc9572 cpld 实现的伺服电机控制器,电机控制输出,和增量编码器读取。-Xilinx xc9572 cpld achieve servo motor controller, motor control output, Incremental encoder and the reader.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 利用FPGA串口通信向上位机发送数据
    利用FPGA串口通信向上位机发送数据,使用RS232通信协议,向上位机发送8位数据,其中8八个数据位包括4个信息位,经过7-4汉明编码变为7个信息位,而最低位补0,发送的8位数据为2个16进制数,其2个16进制数通过数码管显示。
    2022-03-15 00:32:55下载
    积分:1
  • ANC_LMS
    verilog描述的基于LMS的自适应噪声消除器ANC算法。用于数字音频处理。(The verilog Description LMS-based adaptive noise canceller ANC algorithm. For digital audio processing.)
    2012-10-29 21:43:33下载
    积分:1
  • Lab3_mux24a
    4位2选1多路选择器的设计与实现。nexy3开发板。本实验中用Verilog语句来描述。(Xilinx ISE 12.3.nexy3.)
    2014-03-30 09:31:54下载
    积分:1
  • Toplevel VHDL Structural model of a system containing 8051
    Toplevel VHDL Structural model of a system containing 8051 -Toplevel VHDL Structural model of a system containing 8051
    2022-11-19 06:20:03下载
    积分:1
  • 图书馆的IEEE
    LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_ARITH.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL
    2022-03-24 00:58:30下载
    积分:1
  • 基于任意波形发生器的实现可编程逻辑器件…
    基于可编程逻辑器件实现任意波形发生器VHDL源代码-Programmable logic device based on the arbitrary waveform generator implementation VHDL source code
    2023-05-09 22:45:03下载
    积分:1
  • verilog
    关于USB开发的verilog开发程序,非常的全面,学习FPGA开发时用得着。(About USB development verilog development process, very comprehensive, learning FPGA development time worthwhile.)
    2013-12-26 18:29:35下载
    积分:1
  • lab5
    串口控制器,基于vivado软件下开发,包含代码及管脚分配文件(Serial port controller)
    2017-12-07 16:40:56下载
    积分:1
  • 20080931
    Design approach for VHDL and FPGA Implementation of Automotive Black Box using CAN Protocol
    2009-10-23 00:20:47下载
    积分:1
  • 本文介绍了使用verilog语言进行硬件设计的一些基本技巧
    本文介绍了使用verilog语言进行硬件设计的一些基本技巧-This paper describes the use of Verilog hardware design language, the basic skills
    2022-04-08 11:38:23下载
    积分:1
  • 696522资源总数
  • 104029会员总数
  • 31今日下载