登录
首页 » VHDL » 波形产生器:用VHDL编写的波形产生器程序

波形产生器:用VHDL编写的波形产生器程序

于 2022-02-16 发布 文件大小:3.23 kB
0 52
下载积分: 2 下载次数: 1

代码说明:

波形产生器:用VHDL编写的波形产生器程序-Waveform Generator: Using VHDL prepared waveform generator procedure

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 用verilog语言写的串口通信程序,包括收发两个模块,可用于FPGA的通信中,可通过程序设置收发的位数,有很好的扩展性....
    用verilog语言写的串口通信程序,包括收发两个模块,可用于FPGA的通信中,可通过程序设置收发的位数,有很好的扩展性.-Verilog language used to write serial communication program, including the sending and receiving two modules can be used for FPGA communications, you can send and receive through the program to set the number of bits, there is a very good scalability.
    2022-06-17 10:57:04下载
    积分:1
  • 基于FPGA的OFDM信号传输系统VHDL源码
      基于FPGA(Field-Programmable Gate Array)的OFDM(Orthogonal Frequency Division Multiplexing)信号传输系统VHDL源码 use IEEE.std_logic_unsigned.all; package outconverter is constant stage : natural := 3; constant FFTDELAY:integer:=13+2*STAGE; constant FACTORDELAY:integer:=6; constant OUTDELAY:integer:=9; function counter2addr( counter : std_logic_vector; mask1:std_logic_vector; mask2:std_logic_vector ) return std_logic_vector; function outcounter2addr(counter : std_logic_vector) return std_logic_vector; end outconverter; package body outconverter is function counter2addr( counter : std_logic_vector; mask1:std_logic_vector; mask2:std_logic_vector ) return std_logic_vector is variable result :std_logic_vector(counter"range); begin for n in mask1"range loop if mask1(n)="1" then result( 2*n+1 downto 2*n ):=counter( 1 downto 0 ); elsif mask2(n)="1" and n/=STAGE-1
    2022-02-13 14:58:13下载
    积分:1
  • min_max_finder_part1
    最大最小值寻找程序,可以实现自动查找最大值与最小值(min_max_finder)
    2010-09-25 01:19:09下载
    积分:1
  • usb控制器,有VHDL实现的,还有C++的源码,可以编译
    usb控制器,有VHDL实现的,还有C++的源码,可以编译-usb controller, there is the realization of VHDL, as well as C++ source code can be compiled
    2022-03-31 17:48:55下载
    积分:1
  • PWM
    自己编写的verilog语言 PWM实现的一种方法希望有用(verilog PWM)
    2015-04-05 18:23:37下载
    积分:1
  • VHDL_COUNTING 时间使用按钮 (Đếm giờ phút giây sử dụng nút nhấn)
    VHDL_COUNTING 时间使用按钮 (Đếm giờ phút giây sử dụng nút nhấn)
    2022-01-27 10:40:51下载
    积分:1
  • DDS调试心得,VERIOLG 各HDL和VHDL语言的DDS调试方法
    DDS调试心得,VERIOLG 各HDL和VHDL语言的DDS调试方法-DDS debugging experience, VERIOLG the HDL and VHDL languages DDS debugging method
    2022-06-26 23:09:02下载
    积分:1
  • power_control
    四轴动力模块,用一个顶模块控制,输入有:油门(20档);指令;水平仪控制指令,4个输出口(Axis power modules, with a top module control inputs are: accelerator (20 files) instruction Level control instructions, four output ports)
    2013-12-26 20:57:03下载
    积分:1
  • USERMANUL
    LPC4357开发板采用ARM的Cortex-M4微控制器LPC4357。内置一个ARM Cortex-M0协处理,CPU运行频率高达204MHz,片内集成1MB Flash和36KB SRAM。开发板采用独立核心板设计,核心板集成64MB SDRAM、128MB NAND-Flash、4MB SPI-Flash。核心板上的摄像头接口可直接连接各种型号的摄像头,两侧160P排针接口引出了除EMC总线外的LPC4357芯片所有功能管脚。 开发板提供丰富的外设接口,包括以太网、液晶屏、摄像头、USB-Host、USB-OTG、SD卡、RS232、RS485、CAN、耳机、麦克风、温度传感器、AD/DA、JTAG仿真器等。此外,开发板提供一个14P扩展接口,包括1路UART、1路SPI、1路I2C、4个IO、3.3V、5V,可以很方便的扩展自己的外围电路。(DS-LPC4357 development board using the Cortex-M4 microcontroller LPC4357 ARM s. A built-in ARM Cortex-M0 co-processor, CPU operating frequency up to 204MHz, 1MB Flash and 136KB SRAM integrated on chip. Development board using an independent core board design, the core board integrates 64MB SDRAM, 128MB NAND-Flash, 4MB SPI-Flash. Camera core board interface can be directly connected to various types of cameras, both sides 160P pin interface leads to the outside of the bus in addition to EMC LPC4357 chip all the functions of the pins. Development board provides a rich set of peripheral interfaces, including Ethernet, LCD screen, camera, USB-Host, USB-OTG, SD card, RS232, RS485, CAN, headphone, microphone, temperature sensor, AD/DA, JTAG emulator, etc. . In addition, the development board provides a 14P expansion interfaces, including one-way UART, 1 road SPI, 1 channel I2C, 4 个 IO, 3.3V, 5V, can easily expand their peripheral circuits.)
    2016-02-23 16:58:53下载
    积分:1
  • classic-examples-of-Verilog
    一些verilo的经典实例,非常适合初学者(verilo of the classic examples, for beginners)
    2011-08-01 09:01:34下载
    积分:1
  • 696524资源总数
  • 103791会员总数
  • 67今日下载