登录
首页 » VHDL » which I have recently bought a CPLD Development Board VHDL source code accompani...

which I have recently bought a CPLD Development Board VHDL source code accompani...

于 2022-02-20 发布 文件大小:4.43 MB
0 335
下载积分: 2 下载次数: 1

代码说明:

这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development of the plate diagram, You hope to be a good help! which states : eight priority encoder, multipliers, multi-path selectors, BCD binary switch, adder, subtraction device, the simple state machine, four comparators, seven of the digital control, i2c bus, lcd LCD allocated code switches, serial port, the buzzer sounded, matrix keyboards, Bomadeng, traffic lights, Digital Clock.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • LPC1788_VGA_COLOR
    鼎lpc1788尚开发板的vga的显示,1024x768(lpc1788board,lcd to vga display,1024x768)
    2014-12-15 13:34:06下载
    积分:1
  • 非常好的VHDL音乐
    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity song is    port(clk_4MHz,clk_4Hz:in std_logic;      ----预置计数器和乐谱产生器的时钟         digit:buffer std_logic_vector(6 downto 0);  ----高、中、低音数码管指示         zero:out std_logic_vector(4 downto 0);     ----用于数码管高位置低  
    2022-12-29 04:50:03下载
    积分:1
  • 6通道正弦波发生器,产生频率,相位,幅值都可调的正弦波形...
    6通道正弦波发生器,产生频率,相位,幅值都可调的正弦波形-6-channel sine wave generator, resulting in frequency, phase, amplitude of the sinusoidal waveform are adjustable
    2022-10-22 04:00:03下载
    积分:1
  • CME3000FPGADevelopment-
    针对京微雅阁的CME300 FPGA教程,里面有几个例程,并附有源代码,初学者可尽快入门。(For Beijing micro Accord CME300 FPGA tutorial, there are a few routines, with source code, beginners can start as soon as possible.)
    2013-08-19 18:01:21下载
    积分:1
  • binary_adder_subtractor
    binary adder / subtracter in vhdl
    2012-12-10 14:54:57下载
    积分:1
  • ISCAS-85
    包括很多格式的85基准电路,不需手动转换,可以供学者自行选用。(This resource including many formats 85 banchmack circuit, without manual conversion, you can choose for the scholar.)
    2021-03-10 20:09:26下载
    积分:1
  • 这个是专门用在ALtera第二代PLD MAXII上的16位微处理器IP核,文档齐全...
    这个是专门用在ALtera第二代PLD MAXII上的16位微处理器IP核,文档齐全-this is the ALtera devoted second-generation PLD MAXII on the 16-bit microprocessor IP core, complete documentation
    2022-02-21 05:05:05下载
    积分:1
  • Tun2CNk2
    FPGA实现DSP的Verilog 示例(FPGA realization of DSP-Verilog Example)
    2008-05-05 17:08:19下载
    积分:1
  • hdmi
    HDMI协议的Verilog实现,通过对RGB三个通道分别进行TMDS编码完成,纯原创代码(Verilog implementation of HDMI protocol, through TMDS coding of RGB three channels, pure original code)
    2020-07-28 16:58:46下载
    积分:1
  • uart
    说明:  uart 发送模块接收模块及tb,其中可以选择不同波特率进行收发,代码带有详细注释。(UART sending module and receiving module)
    2020-06-20 20:00:02下载
    积分:1
  • 696518资源总数
  • 106182会员总数
  • 24今日下载