登录
首页 » VHDL » 1. For the key input, please join the voice output circuit, representing the key...

1. For the key input, please join the voice output circuit, representing the key...

于 2022-03-02 发布 文件大小:574.26 kB
0 64
下载积分: 2 下载次数: 1

代码说明:

1对于按键输入,请加入语音输出电路,代表按键sw1反馈的音频信息。每次按下sw1按钮时,它们都会发出0.1秒1KHz的声音。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 我用过的verilog hdl写的SDRAM core源程序,经过测试应用
    我用过的verilog hdl写的SDRAM core源程序,经过测试应用-I used to write Verilog HDL source of SDRAM core, the test application
    2022-01-23 10:44:34下载
    积分:1
  • 基于FPGA的ELM仿真
    Efficient_Digital_Implementation_of_Extreme_Learning_Machines_for_Classification
    2022-07-18 22:42:33下载
    积分:1
  • 16QAM-modulation-based-on-FPGA
    基于FPGA的16QAM调制程序,基于verilog开发环境(16QAM modulation program based on FPGA-based development environment verilog)
    2014-05-07 14:05:25下载
    积分:1
  • XAPP_585
    XAPP585 serdes_1_to_7 and serdes_7_to_1 data
    2021-02-04 13:49:57下载
    积分:1
  • 10_ImageEdge
    基于System Generator的图像处理工程,多媒体处理FPGA实现的源码,图像边缘提取(System Generator based image processing engineering, multimedia processing FPGA implementation source code, image edge extraction)
    2020-10-23 20:27:22下载
    积分:1
  • 等精度测频率
    说明:  利用stm32F407实现的等精度测频,可以精确测量频率,误差很小(The equal precision frequency measurement realized by stm32F407 can accurately measure frequency with little error.)
    2020-06-19 13:00:02下载
    积分:1
  • my_booth_mp
    booth algotihm verilog design and test
    2016-06-14 16:02:10下载
    积分:1
  • 基于FPGA的多功能电子时钟的设计很经典的哦
    基于FPGA的多功能电子时钟的设计很经典的哦-FPGA-based multi-functional electronic clock designs are very classic Oh
    2022-03-21 07:02:37下载
    积分:1
  • Serial to parallel conversion code
    用于串行到并行数据转换器的VHDL代码;当输入数据是串行的时,该代码是用于许多应用程序的位到字节转换的VHDL代码形成代码使用基于FPGA的LUT和D-RAM来存储数据,然后用时钟推送字节对齐的数据。
    2022-08-08 20:52:36下载
    积分:1
  • 这是我自己写的两个8位二进制数的乘法程序,在xilinx Spartan3E 上已经调试成功,拿出来与大家分享!...
    这是我自己写的两个8位二进制数的乘法程序,在xilinx Spartan3E 上已经调试成功,拿出来与大家分享!-that I wrote two eight binary number multiplication procedure, In xilinx Spartan3E debugging has been successful, with the show to share with you!
    2022-08-11 07:35:25下载
    积分:1
  • 696522资源总数
  • 104027会员总数
  • 45今日下载