登录
首页 » VHDL » 此设计采用Verilog HDL硬件语言设计,在掌宇开发板上实现. 将整个电路分为两个子模块,一个提供同步信号(H_SYNC和V_SYNC)及像素位置信息;...

此设计采用Verilog HDL硬件语言设计,在掌宇开发板上实现. 将整个电路分为两个子模块,一个提供同步信号(H_SYNC和V_SYNC)及像素位置信息;...

于 2022-04-07 发布 文件大小:896.04 kB
0 47
下载积分: 2 下载次数: 1

代码说明:

此设计采用Verilog HDL硬件语言设计,在掌宇开发板上实现. 将整个电路分为两个子模块,一个提供同步信号(H_SYNC和V_SYNC)及像素位置信息;另一个接收像素位置信息,并输出颜色信号。这样便于进行图形修改,同时也容易实现- This design uses Verilog the HDL hardware language design, realizes on the palm space development board Divides into two stature modules the entire electric circuit, provides the synchronized signal (H_SYNC and V_SYNC) and the picture element positional information; Another receive picture element positional information, and output color signal. Like this is advantageous for carries on the graph to revise, simultaneously is also easy to realize

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • ddr3_model
    一个verilog语言开发编写的简单的ddr3模型(A simple model ddr3, written with verilog language)
    2020-08-26 17:38:13下载
    积分:1
  • fir48
    48阶FIR滤波器的verilog,包含测试文件(48-order FIR filter verilog, including test paper)
    2021-04-14 19:58:55下载
    积分:1
  • 这是很全的标准库啊,不是1164.vhd,都是一些加,乘,除,平方等操作的包来的....
    这是很全的标准库啊,不是1164.vhd,都是一些加,乘,除,平方等操作的包来的.-This is the standard for the whole ah, not 1164.vhd are some increases, multiplication, addition, operational square packages to come.
    2022-06-21 05:49:57下载
    积分:1
  • 音频最新项目
    音频编解码器 (ADPCM 1 位)代码是准备 Altera 旋风 II DE1 起动器板和它进行了测试,您可以修改代码,并使用它们在任何项目中。岩心描述:采样频率: 44100hz频道: 立体声比特率: 1 位每 Sample(So it is: 44.1 * 2 = 88.2kbps)压缩比: 16: 1VHDL 代码包括:1 位 ADPCM Decoder(x2)、 I2S Driver(x1)、 I2C Driver(x1)、 快闪记忆体 Driver(x1),键盘 Driver(x1)、 LED Bar(x1)、 容量和配置 Engine(x1)。Codec(Encoder/Decoder) 是可以使用它来编码 PCM 原始的波形文件,然后刻录的 Win32 应用程序中可用 *。DJ 文件到闪光灯,确保 flash 不是已经清楚 (你可以使用 EDK 控制面板来清除和程序 flash) 在 FPGA 上运行的代码。那里是没有专利或版权,这免费的每个人在任何项目中使用。
    2023-08-28 07:45:05下载
    积分:1
  • File name: ADC0809.vhd features: Based on the VHDL language, easy to control imp...
    文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。-File name: ADC0809.vhd features: Based on the VHDL language, easy to control implementation of the ADC0809 Description: ADC0809 internal clock does not need external 10KHz ~ 1290Hz clock number, here by the FPGA system clock (50MHz) frequency by 256 points to be clk1 (195KHz ) as the conversion ADC0809 clock job.
    2023-07-04 18:20:03下载
    积分:1
  • 基于Verilog的FFT核
    2022-10-27 16:20:03下载
    积分:1
  • FPGAmotor
    FPGA在直流电机调速中的应用,利用fpga进行PID闭环控制(tell us speed control for DC motor by FPGA,use fpga for PID circle control)
    2010-11-03 20:40:42下载
    积分:1
  • LDPC_Encoder
    说明:  verilog 编写的ldpc编码,含有两个文件(LDPC written by Verilog)
    2021-03-08 19:19:28下载
    积分:1
  • 开源软核处理器OpenRisc的SOPC设计
    开源软核处理器OpenRisc的SOPC设计
    2022-01-25 21:28:54下载
    积分:1
  • Project7_5
    说明:  基于fpga状态机的交通灯设计,亮灯时间自己修改,程序简单易懂。(Traffic light design based on FPGA state machine, light time self-modifying, the program is simple and easy to understand.)
    2020-06-18 04:00:01下载
    积分:1
  • 696522资源总数
  • 104044会员总数
  • 20今日下载