登录
首页 » VHDL » altera DE1 SD_CARD带写入一个扇区功能的程序,已确认成功,下载直接运行就可以看效果...

altera DE1 SD_CARD带写入一个扇区功能的程序,已确认成功,下载直接运行就可以看效果...

于 2022-04-16 发布 文件大小:7.56 MB
0 42
下载积分: 2 下载次数: 1

代码说明:

altera DE1 SD_CARD带写入一个扇区功能的程序,已确认成功,下载直接运行就可以看效果-altera DE1 SD_CARD with a sector write function procedures, has confirmed the success of running can be downloaded directly watch the effect of

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 低功耗高速凸轮
    记忆是目前在大比例的所有数字系统中数字计算机的主要组成部分。记忆是征收流转税的二进制存储单元能够存储的二进制信息。除了这些细胞,内存包含用于存储 andretrieving 信息的电子线路。从内存中的 0 和 1 的形式,可以检索的信息。半导体存储器通常被认为是数字逻辑系统设计中的 mostvital 微电子组件。Semiconductormemories 的特点作为挥发物和不挥发物的内存设备。
    2023-02-20 21:40:03下载
    积分:1
  • beipin_test
    实现任意倍数的倍频,帮助大家解决VHDL倍频问题,(The realization of arbitrary multiples of the octave, octave VHDL help people solve problems,)
    2021-03-24 17:19:14下载
    积分:1
  • FPGA-LCD
    关于FPGA针对LCD资源配置,及相关电路层次关系(LCD FPGA)
    2012-09-18 22:47:41下载
    积分:1
  • ug848-VC707-getting-started-guide
    vc707 board getting started guide
    2018-06-14 05:52:39下载
    积分:1
  • smartWasher
    QUARTER编程环境实现的智能洗衣机系统,通过DE0板子进行模拟,组要完成洗衣机5个步骤的顺序过程以及系统相应动作(QUARTER programming environment of intelligent washing system, through simulation DE0 board, groups 5 to complete the washing process and the system the sequence of steps corresponding action)
    2020-11-06 13:19:49下载
    积分:1
  • AD7760_TEST
    AD7760模数转换,使能滤波器功能,简单易懂,可进行各种配置 全功能支持,并附加使用说明(AD7760 Full Function Support with Additional Instructions)
    2021-03-17 13:39:20下载
    积分:1
  • 乐曲播放器
    用vhdl语言编写的程序,可以播放乐曲,有分频模块,可播放梁祝等歌曲。
    2022-01-21 18:49:47下载
    积分:1
  • 这个是专门用在ALtera第二代PLD MAXII上的16位微处理器IP核,文档齐全...
    这个是专门用在ALtera第二代PLD MAXII上的16位微处理器IP核,文档齐全-this is the ALtera devoted second-generation PLD MAXII on the 16-bit microprocessor IP core, complete documentation
    2022-02-21 05:05:05下载
    积分:1
  • class17_TLC5620
    TLC5620驱动程序包括其他文件,8位,4通道,电压输出型DAC的数模转换器(TLC5620 driver and doc)
    2018-08-13 16:58:54下载
    积分:1
  • led_test
    在Quartus II 上编程的基于FPGA的LED显示实验(Programming in the Quartus II LED display experiment based on FPGA )
    2013-08-13 08:55:45下载
    积分:1
  • 696522资源总数
  • 104049会员总数
  • 30今日下载