登录
首页 » VHDL » 看门狗定时器

看门狗定时器

于 2022-06-14 发布 文件大小:375.42 kB
0 47
下载积分: 2 下载次数: 1

代码说明:

使用IEEE.STD_LOGIC_1164.ALL; - 取消对以下库声明,如果用符号或无符号值using--算术功能 - 使用IEEE.NUMERIC_STD.ALL; - 取消对以下库声明如果instantiating--任何Xilinx基元在这代码.--库UNISIM; - 使用UNISIM.VComponents.all;实体看门狗端口(SYSRST:在STD_LOGIC; SYSCLK:在STD_LOGIC; WR:在STD_LOGIC; DATAIN:在STD_LOGIC_VECTOR(7 DOWNTO0); RESETOUT:出STD_LOGIC; debugStates:出STD_LOGIC_VECTOR(1 DOWNTO0); debugDivider:出STD_LOGIC; debugFlag:出STD_LOGIC);年底看门狗,看门狗建筑行为issignal timeoutSelect:STD_LOGIC_VECTOR(1 DOWNTO0);信号timerRestart:STD_LOGIC;信号timerEnable:STD_LOGIC;组件wdtcntl端口(调试:出STD_LOGIC_VECTOR(1 DOWNTO0);系统时钟:在STD_LOGIC; SYSRST:在STD_LOGIC; WR:在STD_LOGIC; DATAIN:在STD_LOGIC_VECTOR(7 DOWNTO0);重新启动:从STD_LOGIC; timerEnb:出STD_LOGIC; timerSel:出STD_LOGIC_VECTOR(1 DOWNTO0));最终组件;组件wdt_timer端口(dbDivider:出STD_LOGIC; DBFLAG:出STD_LOGIC; SYSRST:在STD_LOGIC; SYSCLK:在STD_LOGIC;启用:在STD_LOGIC;重启:在STD_LOGIC; RESETOUT:出STD_LOGIC; timeoutSel:在STD_LOGIC_VECTOR(1 DOWNTO0 ));结束部分; begincontroller:wdtcntl端口映射(debugStates,系统时钟,SYSRST,WR,DATAIN,timerRestart,timer

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 下午5点的代码及说明,verilog代码,几乎所有的IC面试都会问…
    5分代码及说明,verilog代码,几乎所有的IC面试都会问到这个问题,所以总结了一下发了上来,共同学习!-5 pm code and explanations verilog code Almost all the interviews will IC asked this question, summed up in the ranks about fat, learn together!
    2022-02-21 11:34:44下载
    积分:1
  • ser_to_parr
    很有用的10bit串并转换verilog程序,需要的可以拿去参考下,在quartusII上已验证过(Useful 10bit string and convert verilog program, need to take a reference, has been verified in quartusII)
    2012-05-21 16:21:22下载
    积分:1
  • 01_rtc_ds1302
    说明:  实现基于黑金开发板的实时时钟功能,显示时分秒(Realize the real-time clock function based on black gold development board, display time, minute and second)
    2021-01-11 14:40:12下载
    积分:1
  • EDA VHDL modules commonly used procedure, the time
    EDA中常用模块VHDL程序,不同时基的计数器由同一个外部是中输入时必备的分频函数。分频器FENPIN1/2/3(50分频=1HZ,25分频=2HZ,10分频=5HZ。稍微改变程序即可实现)-EDA VHDL modules commonly used procedure, the time- with a counter by the external input is required when the sub-frequency functions. Frequency Divider FENPIN1/2/3 (50 1HZ frequency = 25 = 2HZ-frequency, frequency = 10 points Stripper. A slight change in procedure can be realized)
    2022-07-02 21:52:46下载
    积分:1
  • VHDL语言,设计一个在DE2平台的8个七段数码管上循环显示HELLO的程序
    VHDL语言,设计一个在DE2平台的8个七段数码管上循环显示HELL0的程序,采用按键控制循环的速度,慢速循环时间间隔为1S,快速循环时间间隔为200ms。(VHDL language, design a platform in the DE2 8 segment digital tube display HELL0 program cycle, the speed control loop using keys, slow cycle time interval for the 1S, fast cycle time interval is 200ms.)
    2020-07-08 20:28:56下载
    积分:1
  • sp605_BRD_rdf0033_13.2_c
    spartan605评估板测试代码。xilinx官方资料(spartan605 uation board test code)
    2014-12-23 22:27:45下载
    积分:1
  • hex_counter-2014-10-15
    hex_counter old project, please let me know if need any help
    2014-12-03 02:21:05下载
    积分:1
  • 合众大公司XILINX_V4实验箱原理图
    合众大公司XILINX_V4实验箱原理图-United XILINX_V4 large companies schematic experimental box
    2022-12-12 08:15:03下载
    积分:1
  • LEDTest2
    This is a running 10 bit led on VHDL code including switch to shift from increasing or decreasing
    2017-10-28 16:27:20下载
    积分:1
  • adder
    This the adder VHDL code, it contains input and output fild, also simulate file-adder
    2022-06-21 18:48:32下载
    积分:1
  • 696524资源总数
  • 103838会员总数
  • 43今日下载