登录
首页 » VHDL » 用verilog实现了一个数字秒表的设计

用verilog实现了一个数字秒表的设计

于 2022-08-03 发布 文件大小:730.00 B
0 51
下载积分: 2 下载次数: 1

代码说明:

用verilog实现了一个数字秒表的设计-verilog achieved using a digital stopwatch Design

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • ISA.System.Architecture
    ISA总线资料《ISA System Architecture》(ISA System Architecture)
    2009-10-28 20:46:39下载
    积分:1
  • ps2_lcd
    此代码能够使得键盘控制液晶,实时的进行书写,按下Backspace清屏(This code enables the keyboard to control the LCD, in real-time writing, press Backspace clear the screen)
    2013-01-27 11:04:40下载
    积分:1
  • fifo16_16
    异步的fifo,写时钟和读时钟相互独立,能够对数据进行缓存处理。希望对大家有用(Asynchronous fifo, write clock and the read clock independent of each other, capable of processing the data cache. I hope useful)
    2020-10-26 10:49:59下载
    积分:1
  • Get-20-point
    this program get 20 point from user and draw functions.
    2014-01-09 03:25:06下载
    积分:1
  • UART_CESHI
    基于VHDL语言的串口发送和接收程序,自己调试通过,并已经运用在工程中(Based on the serial port to send and receive procedures VHDL language, its own debugging, and has been used in the project)
    2016-08-05 15:27:54下载
    积分:1
  • FPGA代码,Designing_with_Quartus_II_Exercises_Ver11_v4_2.doc
    FPGA代码,Designing_with_Quartus_II_Exercises_Ver11_v4_2.doc-FPGA code Designing_with_Quartus_II_Exercises_Ver1 1_v4_2.doc
    2023-03-14 03:35:04下载
    积分:1
  • rgb1
    红绿灯交通灯的设计,通过规定时间红绿灯的转变实现交通灯的控制(Traffic light traffic light design, implementation, control traffic lights traffic light changes by a predetermined time)
    2017-01-09 09:07:58下载
    积分:1
  • 布斯算法
    展位的乘法算法 is a 乘法算法两者相乘得两个签名二进制 请点击左侧文件开始预览 !预览只提供20%的代码片段,完整代码需下载后查看 加载中 侵权举报
    2022-10-19 10:20:03下载
    积分:1
  • ps2
    使用verliog实现ps2键盘接口的驱动,通过altera cyclone 第四代验证通过(Use verliog implement ps2 keyboard interface driven by a fourth-generation verified by altera cyclone)
    2015-12-17 16:28:38下载
    积分:1
  • Decimal precision counts, classic written, easy learning and reference for all t...
    十进制精确计数,经典写法,便于学习与参考,供大家分享-Decimal precision counts, classic written, easy learning and reference for all to share
    2022-03-24 02:37:10下载
    积分:1
  • 696524资源总数
  • 103791会员总数
  • 67今日下载