登录
首页 » VHDL » 这是一个用vHDL语言实现的移位器,可以实现移位功能

这是一个用vHDL语言实现的移位器,可以实现移位功能

于 2023-01-29 发布 文件大小:180.39 kB
0 36
下载积分: 2 下载次数: 1

代码说明:

这是一个用vHDL语言实现的移位器,可以实现移位功能-This is the design of an shifter using vhdl

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • dianziqin
    运用quartus 软件模拟的电子琴,实现按键出现不同音调的音乐。(Quartus software simulation using keyboard, keys appear to achieve different tones of music.)
    2013-07-03 14:57:05下载
    积分:1
  • ALU
    说明:  包含一个ALU,实现斐波那契数列的计算。1.接受两个6位二进制输入。2.通过手动输入的时钟驱动每个周期进行一次计算。3.结果输出到led灯(使用NEXYS4开发板)(Including an ALU to realize the calculation of Fibonacci sequence. 1. Accept two 6-bit binary inputs. 2. Each cycle is driven by a clock input manually. 3. Output to LED lamp (using NEXYS4 development board))
    2019-04-11 14:14:50下载
    积分:1
  • AD9914原理图和gerber以及BOM表
    说明:  DDS VHDL include everything of dds AD9914
    2019-06-03 09:40:52下载
    积分:1
  • 8位十进制频率计,通过验证,目标芯片EPF10KLC84
    8位十进制频率计,通过验证,目标芯片EPF10KLC84-4-8 decimal Cymometer through authentication, the target chip EPF10KLC84-4
    2022-07-15 16:44:52下载
    积分:1
  • AES128
    AES128 encription vhdl code
    2014-03-05 00:48:13下载
    积分:1
  • Altera DE2板专用CCD驱动器
    altera DE2 实验板专用 CCD驱动-altera DE2 board dedicated CCD driver
    2022-02-10 05:21:46下载
    积分:1
  • CMOS 全加法器能量高效算术应用程序
    在电子产品中,加法器是一种数字电路,执行加法的数字。在许多计算机和其他种类的处理器,加法器使用不仅在算术逻辑单元(s),而且其他地方的处理器,
    2022-11-13 21:20:04下载
    积分:1
  • 一个可以使用的RocketI/O开发实例。基于Xilinx FPGA Virtex5平台。
    一个可以使用的RocketI/O开发实例。基于Xilinx FPGA Virtex5平台。-One can use RocketI/O development example. Based on Xilinx FPGA Virtex5 platform.
    2022-02-12 14:18:54下载
    积分:1
  • I2C APB ds v1.0
    关于i2c master/slaver control 方面的技术资料 介绍其特色与使用方法(On the i2c master/slaver control of technical information on their characteristics and use)
    2007-07-29 00:40:04下载
    积分:1
  • XAPP134_SDRAM_VHDL
    XAPP134 SDRAM VHDL design file
    2011-01-19 09:57:21下载
    积分:1
  • 696524资源总数
  • 103920会员总数
  • 65今日下载