登录
首页 » VHDL » This is a verilog file which is used as a decoder

This is a verilog file which is used as a decoder

于 2023-02-17 发布 文件大小:97.94 kB
0 35
下载积分: 2 下载次数: 1

代码说明:

This a verilog file which is used as a decoder-This is a verilog file which is used as a decoder

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 带load、clr等功能的寄存器
    带load、clr等功能的寄存器-belt load, the function clr Register
    2022-06-20 10:15:42下载
    积分:1
  • 自己编的一个分频器的程序模版 虽然原理很简单,经过多次实践很实用 被多次用在其它的程序中...
    自己编的一个分频器的程序模版 虽然原理很简单,经过多次实践很实用 被多次用在其它的程序中-own series of the dividers of a procedure template Although very simple principle, after repeated practice by many very practical use in other proceedings, and,
    2022-02-15 15:20:10下载
    积分:1
  • Realize four kinds of common sine wave, triangle, sawtooth, square wave (A, B) t...
    实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。 -Realize four kinds of common sine wave, triangle, sawtooth, square wave (A, B) the frequency, magnitude controllable output (square wave A, is also a controllable duty cycle), can store arbitrary waveform feature data and can reproduce the waveform, but also completed a variety of linear superposition of the output waveform.
    2022-09-08 01:55:03下载
    积分:1
  • ADC TLC5510的测试程序,经过测试是非常简单和容易的
    ADC TLC5510的测试程序,经过测试通过,十分简单好用-ADC TLC5510 test procedures, after the test is very simple and easy
    2022-03-17 18:38:12下载
    积分:1
  • Rotary Encoder Reading the Rotary Encoder and indicating the selection through...
    Rotary Encoder Reading the Rotary Encoder and indicating the selection through a LED placed on the front panel. Events counter for the Rotary Encoder and displaying the events on the front panel Project: events counter for the rotary encoder and displaying the events on the SSD Pmod (Seven-Segments Display Programable module).
    2022-05-24 04:15:56下载
    积分:1
  • MRAM2012
    STT-MRAM磁性存储器全部verilog代码和仿真验证代码,包括行为模块,读写模块和控制模块,已经经过验证完全正确(STT-MRAM magnetic memory all the code and simulation code, including behavior module, reader module and the control module, has been proven entirely correct)
    2020-06-29 14:20:02下载
    积分:1
  • fpga
    ldpc码的FPGA编译与仿真实现,欢迎分享,分享快乐。(LDPC code compilation and simulation。)
    2014-05-24 17:32:11下载
    积分:1
  • 用VERILOG语言编写的电子琴程序.用GW48教学实验箱仿真的
    用VERILOG语言编写的电子琴程序.用GW48教学实验箱仿真的-Using Verilog language organ procedures. GW48 teaching experiment with simulation boxes
    2022-03-01 23:12:48下载
    积分:1
  • VGA_yanse
    用fpga实现VGA16色真彩的图片显示,且在AX301实验板上已经调试过(VGA16 achieve true color with fpga pictures show, and in the AX301 has been tuned breadboard)
    2021-02-05 17:59:57下载
    积分:1
  • VHDL语言进行,调试通
    用VHDL语言编写,在MAXPLUS2下调试通过-VHDL language, debug through MAXPLUS2
    2023-08-07 07:55:03下载
    积分:1
  • 696522资源总数
  • 104027会员总数
  • 45今日下载