登录
首页 » VHDL » from across the Xilinx website, learning some FPGA dynamic reconfigurable good e...

from across the Xilinx website, learning some FPGA dynamic reconfigurable good e...

于 2023-03-28 发布 文件大小:2.44 MB
0 21
下载积分: 2 下载次数: 1

代码说明:

从Xilinx网站上下的,学习FPGA部分动态重配置很好的例子。-from across the Xilinx website, learning some FPGA dynamic reconfigurable good example.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • lmf
    在ISE下,FPGA产生线性调频信号,并且产生信号的参数可调(In ISE, the FPGA generates a linear frequency modulation signal, and the parameters of the signal are adjustable.)
    2018-03-29 15:31:15下载
    积分:1
  • VHDL编写的4个led灯循环明暗变化,通过改变波形占空比实现,课堂作业自编程序...
    VHDL编写的4个led灯循环明暗变化,通过改变波形占空比实现,课堂作业自编程序-VHDL prepared by the four led lights cycle shading changes, by changing the waveform duty cycle to achieve, self-compiled class operating procedures
    2022-04-17 17:16:20下载
    积分:1
  • four_interleaved
    实现mimo-ofdm系统的交织功能,可供参考(Implement the mixed function of mimo- ofdm system, available for reference)
    2013-03-30 09:22:40下载
    积分:1
  • 一个基于C51指令系统的简易uCOS示范程序,有完整的代码分析
    一个基于C51指令系统的简易uCOS示范程序,有完整的代码分析-A command system based on the C51 model uCOS summary procedures, have a complete code analysis
    2022-06-01 23:18:00下载
    积分:1
  • verilog digital stopwatch to achieve accurate to 10ms
    verilog实现的数字跑表 精确到10ms-verilog digital stopwatch to achieve accurate to 10ms
    2022-04-18 11:51:54下载
    积分:1
  • Receiver
    该程序是整个OFDM接收机的程序,希望对做这方面的朋友用些帮助,也希望朋友们和我一起探讨OFDM收发信机。(The program is the whole OFDM receiver process, hope to do in this area with some friends to help and also hope that friends and I explore OFDM transceiver.)
    2010-01-03 13:44:38下载
    积分:1
  • divid5_VERILOG
    VERILOG实现无分频时钟,包括测试文件,经过验证可用(VERILOG is no difference between the frequency of the clock implementation, including test papers, can be used after authentication)
    2009-03-30 15:11:30下载
    积分:1
  • hamming
    verilog语言实现一个CPU,汇编程序实现汉明编码功能,输入11位代码,输出15位编码结果。(Verilog language to achieve a CPU, assembler to achieve Hamming coding function, enter 11 bit code, output 15 bit encoding results.)
    2020-07-03 14:00:01下载
    积分:1
  • shperedecode
    基于软输出固定复杂度球形译码的高效迭代检测算法,最新的球形译码论文(Iterative detection algorithm based on a fixed complexity soft-output sphere decoding efficiency, sphere decoding papers)
    2012-09-07 20:36:21下载
    积分:1
  • hdmi_demo
    hdmi 视频编解码输入输出模块,verilog实现(hdmi encoder and decoder in verilog.)
    2020-07-28 17:08:41下载
    积分:1
  • 696524资源总数
  • 103913会员总数
  • 34今日下载