登录
首页 » VHDL » 八线-三线优先编码器

八线-三线优先编码器

于 2023-05-02 发布 文件大小:25.59 kB
0 27
下载积分: 2 下载次数: 1

代码说明:

基本的操作代码,a0-a7是八个信号输入端,a7的优先级最高,a0的优先级最低,当a7输入低电平0时,其他输入无效,编码输出y2y1y0=111;如果a7无效,而a6有效,则y2y1y0=110;

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103938会员总数
  • 55今日下载