-
sdram_hr_hw_4port
这个是DE2上的SDRAM 四个端口的驱动代码,相当实用!(This is a four-port SDRAM on a DE2 driver code, very useful!)
- 2010-07-14 21:21:05下载
- 积分:1
-
用VHDL实现一个四位十进制计数器来进行计数,并且仿真通过
用VHDL实现一个四位十进制计数器来进行计数,并且仿真通过-To use VHDL to achieve a 4 decimal counter to count, and the simulation through the
- 2022-06-18 07:56:39下载
- 积分:1
-
adder32
原理图输入法制作的32位加法器。。。。。。。。(adder32)
- 2009-12-29 19:32:52下载
- 积分:1
-
HARQ
HARQ技术研究,以喷泉码为研究对象,结合LDPC纠错码而研究的。(HARQ technology research to fountain codes for the study, and research combined with LDPC error correction code.)
- 2015-05-21 16:54:15下载
- 积分:1
-
FSK
FPGA实现FSK调制,带Modelsim仿真,实际系统测试通过,载波信号,信号频率等可调。(FPGA implementation FSK modulation with Modelsim simulation, the actual system test, the carrier signal, the signal frequency is adjustable.)
- 2020-09-03 11:38:07下载
- 积分:1
-
VHDL_COUNTING 时间使用按钮 (Đếm giờ phút giây sử dụng nút nhấn)
VHDL_COUNTING 时间使用按钮 (Đếm giờ phút giây sử dụng nút nhấn)
- 2022-01-27 10:40:51下载
- 积分:1
-
非常好的VHDL音乐
library ieee;
use
ieee.std_logic_1164.all;
use
ieee.std_logic_unsigned.all;
entity song is
port(clk_4MHz,clk_4Hz:in std_logic;
----预置计数器和乐谱产生器的时钟
digit:buffer std_logic_vector(6 downto 0); ----高、中、低音数码管指示
zero:out std_logic_vector(4 downto 0); ----用于数码管高位置低
- 2022-12-29 04:50:03下载
- 积分:1
-
how-to-use-modelsim
逐步演示试用modelsim建立仿真的过程,初学者应该看看(Step by step demonstration of the trial to establish modelsim simulation process, beginners should look at the)
- 2009-04-17 09:13:35下载
- 积分:1
-
mmuart
说明: 简单uart,verilog语言编写,已经经过测试,有需要的可以看看(Simple uart, Verilog language, has been tested, you can see if you need it)
- 2020-06-23 20:00:01下载
- 积分:1
-
这是“VHDL设计”讲稿,希望对初学者有用,
这是“VHDL设计”讲稿,希望对初学者有用,-"VHDL design" script, useful for beginners, thank you! !
- 2022-03-13 04:27:24下载
- 积分:1