登录
首页 » VHDL » 计算机组成原理课程设计(vhdl语言实现)

计算机组成原理课程设计(vhdl语言实现)

于 2023-06-03 发布 文件大小:1.22 MB
0 62
下载积分: 2 下载次数: 1

代码说明:

1. 一位全加器设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY add IS PORT(a,b,cin:IN STD_LOGIC; Co,S:OUT STD_LOGIC); END ENTITY add; ARCHITECTURE fc1 OF add is BEGIN S

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103938会员总数
  • 55今日下载