-
OFDM_618
说明: 基于FPGA的OFDM同步,包含时钟模块、ROM读取模块、峰值检测模块、帧同步模块(OFDM synchronization based on FPGA includes clock module, Rom reading module, peak detection module and frame synchronization module)
- 2020-08-12 16:41:34下载
- 积分:1
-
非常好的VHDL音乐
library ieee;
use
ieee.std_logic_1164.all;
use
ieee.std_logic_unsigned.all;
entity song is
port(clk_4MHz,clk_4Hz:in std_logic;
----预置计数器和乐谱产生器的时钟
digit:buffer std_logic_vector(6 downto 0); ----高、中、低音数码管指示
zero:out std_logic_vector(4 downto 0); ----用于数码管高位置低
- 2022-12-29 04:50:03下载
- 积分:1
-
乘法器,实现了乘法和除法的功能,能够进行32位的运算
乘法器,实现了乘法和除法的功能,能够进行32位的运算-Multiplier to achieve the functions of multiplication and division to carry out 32-bit computing
- 2022-03-24 02:44:07下载
- 积分:1
-
FPGA实现四位数与四位数乘法
FPGA实现四位数与四位数乘法,有仿真波形,合理利用FPGA资源(Four-digit and four-digit multiplication is realized by using FPGA. It has simulation waveform and makes rational use of the resources of the FPGA.)
- 2020-06-21 00:00:02下载
- 积分:1
-
01_test
FPGA测试程序,仅供测试硬件是否能够运行,主要功能是点亮运行指示灯(The main function of the test program of FPGA is to light the running indicator.)
- 2019-06-20 03:21:28下载
- 积分:1
-
Music_Player
这份代码完成的功能是通过蜂鸣器播放《梁祝》这首曲子,当然可以自行更改代码,以播放其它的乐曲,所用的硬件描述语言是VHDL,代码有四部分构成,顶层模块、预分频模块(产生基频)、音乐表格和分频模块(产生所需的各音调)。
- 2022-11-10 14:10:03下载
- 积分:1
-
VHDL子程序集,包括各种例程资料以及源码.
VHDL子程序集,包括各种例程资料以及源码.-VHDL subprogram, including a variety of routine information as well as the source.
- 2022-07-01 03:40:13下载
- 积分:1
-
RTL8369-design-kit-v3_5
RTL8369开发资料,包括手册,图纸,Layout说明等等(RTL8369 development information, including manuals, drawings, Layout Guide.)
- 2014-12-07 13:04:30下载
- 积分:1
-
XUAN-ZHUAN-led
旋转LED 实现自适应转速 字幕滚动 对接的程序(Rotating LED Adaptive Speed subtitles scroll docking program)
- 2013-02-06 16:17:56下载
- 积分:1
-
UART_Test
OMAP5912 UART的测试程序 包括头文件 源文件等。(OMAP5912 UART program test)
- 2011-08-14 16:04:03下载
- 积分:1