登录
首页 » VHDL » 非常好的VHDL音乐

非常好的VHDL音乐

于 2022-12-29 发布 文件大小:6.99 kB
0 110
下载积分: 2 下载次数: 1

代码说明:

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity song is    port(clk_4MHz,clk_4Hz:in std_logic;      ----预置计数器和乐谱产生器的时钟         digit:buffer std_logic_vector(6 downto 0);  ----高、中、低音数码管指示         zero:out std_logic_vector(4 downto 0);     ----用于数码管高位置低  

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • TCM_Modulation
    TCM编码的调制端,采用8PSK,2/3码率的卷积码的matlab程序(TCM coded modulation client, using 8PSK, 2/3 code rate of convolutional codes of matlab program)
    2021-04-20 00:08:51下载
    积分:1
  • biss
    绝对位置编码器biss与FPGA之间的通信(Absolute position encoder biss communication with FPGA)
    2017-08-04 12:10:13下载
    积分:1
  • DDS
    Verilog实现DDS线性调频,Verilog实现DDS线性调频(Verilog implementation of DDS linear FM,Verilog implementation of DDS linear FM)
    2015-07-29 19:59:36下载
    积分:1
  • 该代码在信令模块MK50H27 CPLD第七满足(Xilinx 95144)罗…
    该代码为配合7号信令模块MK50H27的cpld(xilinx 95144)的逻辑代码,其中包括了VHDL及原理图.-the code to meet on the 7th of signaling modules MK50H27 cpld (Xilinx 95144 ) logic code, which included a schematic and VHDL.
    2023-05-01 09:05:04下载
    积分:1
  • VHDL of many examples, including the LED, lcd, keypad, digital control and so on...
    vhdl的很多例子,包括LED、lcd、按键、数码管等等,非常的实用。-VHDL of many examples, including the LED, lcd, keypad, digital control and so on, very practical.
    2023-05-20 00:25:04下载
    积分:1
  • VHDL hardware design study of 100 cases (chief recommended)
    硬件设计VHDL学习100例(站长推荐)-VHDL hardware design study of 100 cases (chief recommended)
    2023-07-12 20:55:02下载
    积分:1
  • 基于sopc ep2c5开发板的rs232例程
    基于sopc ep2c5开发板的rs232例程-On sopc ep2c5 development board rs232 routines
    2022-02-05 03:28:05下载
    积分:1
  • 一种基于LUT的预失真方法。其中的一部分,有参考价值。
    一种基于LUT的预失真方法。其中的一部分,有参考价值。-one method of DPD based on LUT
    2022-06-30 17:35:36下载
    积分:1
  • main
    EP2C35A实验箱基于NIOSII的串行AD_DA编程(EP2C35A experimental box based NIOSII the serial AD_DA programming)
    2013-04-22 11:18:27下载
    积分:1
  • 四路抢答器的设计与实现
    在本次设计中,设计了一款软件,可以实现四个选手抢答问题的模式。包括有计时模块、计分模块、分频模块、消抖模块以及动态显示模块。模块中,有一部分是通过VHDL编程实现,有一部分是通过直接调用软件库中的逻辑器件进行组合,进而设计成一个大模块;最后,把这些所有的模块都进行正确的组合,得到正确的仿真结果,下载到FPGA开发板上,同样可以正确的实现(显示第一个抢答选手的号数以及当前各个选手的积分情况)
    2022-02-01 15:33:40下载
    积分:1
  • 696518资源总数
  • 105547会员总数
  • 4今日下载