登录
首页 » VHDL » 非常好的VHDL音乐

非常好的VHDL音乐

于 2022-12-29 发布 文件大小:6.99 kB
0 49
下载积分: 2 下载次数: 1

代码说明:

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity song is    port(clk_4MHz,clk_4Hz:in std_logic;      ----预置计数器和乐谱产生器的时钟         digit:buffer std_logic_vector(6 downto 0);  ----高、中、低音数码管指示         zero:out std_logic_vector(4 downto 0);     ----用于数码管高位置低  

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 用VHDL语言编写一计时范围为59.99秒的跑表
    计时范围为59.99秒;有计时开始和停止计时控制,复位控制可以对所有计时进行异步复位;计时结果由四位七段数码管显示。
    2022-02-13 02:19:25下载
    积分:1
  • teximeter
    这是一个基于车租车计费器的模拟计算系统,用VHDL语言实现(This is a car rental billing based on the simulation system, using VHDL language)
    2015-03-17 19:57:04下载
    积分:1
  • divid5_VERILOG
    VERILOG实现无分频时钟,包括测试文件,经过验证可用(VERILOG is no difference between the frequency of the clock implementation, including test papers, can be used after authentication)
    2009-03-30 15:11:30下载
    积分:1
  • PL_2FSK
    基于VHDl的2FSK调制!用的是altera的quartus11软件(Based on VHDl the 2FSK modulation)
    2012-12-13 17:20:54下载
    积分:1
  • uart_tx
    FPGA UART 发送端程序 verilog语言编写 9600波特率 实用(UART transmit side program verilog language 9600 baud)
    2013-08-14 16:33:34下载
    积分:1
  • tdma_code
    tdma参数化模块。可以自动生成2的n次的tdma哥时隙,用户可根据需要自己配置参数(tdma see the number of model lumps. 2 n basis following manner tdma chance possible 以自 dynamic generation, for root needed self-placement see number)
    2013-09-03 21:52:51下载
    积分:1
  • Dac714
    dac714的控制程序,包括spi数据通信,转换控制(dac714 control procedures, including the spi data communications, switching control)
    2011-05-18 09:13:59下载
    积分:1
  • 万能频率器,可以修改其中的参数,可是实现任意的分频!很方便!...
    万能频率器,可以修改其中的参数,可是实现任意的分频!很方便!-Universal frequency, you can modify one of the parameters, but any implementation of the sub-band! Very convenient!
    2022-01-26 04:43:16下载
    积分:1
  • led_prj
    spartan 3E和verilog HDL的初学者极好的教材,本程序可直接下载到spartan实验板上运行。(Spartan 3E and Verilog HDL beginners excellent materials, the program can be downloaded directly to the spartan experimental board run.)
    2013-04-17 13:35:42下载
    积分:1
  • apbi2c_latest.tar
    APB总线协议转I2C总线协议的接口IP,verilog代码实现,包含详细testbench(APB bus interface to I2C bus interface IP,verilog code )
    2020-09-16 10:27:55下载
    积分:1
  • 696524资源总数
  • 103938会员总数
  • 55今日下载