登录
首页 » Verilog » 单周期CPU设计完整代码

单周期CPU设计完整代码

于 2023-09-02 发布 文件大小:1.31 MB
0 31
下载积分: 2 下载次数: 1

代码说明:

实验课作业,下载可以直接跑,各个模块的完整代码。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • ClockSync
    基于COMTEX-M3的IEEE1588,irigB对时的源程序,包括GPS对时程序,并将对时的结果写入FPGA中(Based COMTEX-M3 of IEEE1588, irigB on time source, including GPS for the program, and writes the results when the FPGA)
    2015-05-12 15:11:03下载
    积分:1
  • 课程设计-数字钟
    说明:  具有计时 设置时间 闹钟 秒表 功能的数字钟设计 外设矩阵键盘(Digital clock design peripheral matrix keyboard with the function of timing setting time alarm clock stopwatch)
    2020-05-18 17:11:07下载
    积分:1
  • emif_tt
    实现dsp与fpga的emif的verilog异步实现,可实现异步读写以及相应功能模块控制,文件中包含仿真后的波形图形以及仿真测试程序,运行环境quartus ii11.0,仿真环境mmodelsim se 6.5d(Achieve dsp and fpga verilog asynchronous implementation of the emif, enabling asynchronous reading and writing as well as the corresponding function module control, the document contains graphics, and after the simulation waveform simulation testing procedures, operating environment quartus ii11.0, simulation environment mmodelsim se 6.5d)
    2020-12-04 15:59:23下载
    积分:1
  • FPGA_Cordic_Atan_A
    串行流水线格式:使用COrdic 算法计算反正切:向量模式下求角度 16bit :数据全部补码格式 (Serial line format: Use COrdic algorithm arctangent: seeking angle vector mode 16bit: full complement data format)
    2014-10-13 20:55:52下载
    积分:1
  • project_1
    说明:  简单的一个Verilog小程序,适合刚接触的人群(A simple Verilog small program, suitable for people just contact)
    2020-06-16 22:20:01下载
    积分:1
  • 55593397xapp592
    GTH 和SMPTE IP 实现 SDI视频接收(SDI Video Receiving Based on GTH and SMPTE IP)
    2019-02-18 16:09:33下载
    积分:1
  • 脉冲检测
    数字脉冲检测序列的前端设计,利用verilog硬件描述语言进行功能设计,利用modelsim软件进行功能仿真,根据测试代码进行检测与计算,看仿真波形是否符合功能设计,在进行FPGA下载,在实验开发板上实现功能输出,完成设计。
    2022-09-25 03:55:02下载
    积分:1
  • VHDL
    先设计序列发生器产生序列:1011010001101010;再设计序列检测器,检测序列发生器产生序列,若检测到信号与预置待测信号相同,则输出“1”,否则输出“0”,并且将检测到的信号的显示出来。(First design sequence generator sequence: 1011010001101010 redesign sequence detector to detect sequence generator sequence, if the same signal is detected with the preset test signal output " 1" , otherwise " 0" , and the detection display signal out.)
    2015-01-04 12:35:54下载
    积分:1
  • cnt60
    六十进制计数器,VHDL编写的计数器,本科电子的可能有些实验可以用到(counter Possible experiments of undergraduate electronics can be used)
    2021-04-07 11:59:01下载
    积分:1
  • LCD1602
    通过编写verilog语言完成数据的在液晶LCD1602显示(By writing verilog language to complete the data displayed on the LCD LCD1602)
    2013-08-04 13:12:05下载
    积分:1
  • 696524资源总数
  • 103886会员总数
  • 81今日下载