登录

最新会员 最新下载

成为了本站VIP会员

04月30日 12:23

成为了本站VIP会员

04月29日 21:46

成为了本站VIP会员

04月26日 23:14

成为了本站VIP会员

04月25日 21:33

成为了本站VIP会员

04月23日 14:46

成为了本站VIP会员

04月18日 20:09
已选条件
  1. 编程语言:matlab
  2. 代码类别:中间件编程
  3. 发布时间:不限
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. yijiedaolibai

  一级倒立摆系统响应,最优算法lqr稳定控制的仿真,以及Simulink搭建的图形及仿真。(The first-order inverted pendulum system response, the optimal algorithm lqr stability control simulation, and Simulink structures and simulation.)

17
下载
157
浏览
2017-06-04发布

2. speech-recognition

  基于MATLAB环境下GUI界面进行语音识别技术研究(基于MATLAB环境下GUI界面进行语音识别技术研究 基于MATLAB环境下GUI界面进行语音识别技术研究 Research on speech recognition technology based on GUI interface in MATLAB environment)

12
下载
126
浏览
2017-05-08发布

3. MMC_liuchen

  模块化多电平换流器直流输电系统电平数较少(mudular multilevel converter)

160
下载
196
浏览
2013-03-26发布

4. Teager

  自编的Teager能量算子程序,内含一篇非常有指导意义的论文,非常实用,希望对大家有所帮助(Teager Energy Operator self subroutine ,and it contains a very instructive paper and very useful)

417
下载
249
浏览
2012-08-13发布

5. MATLAB

  相移光纤光栅,均匀光栅,啁啾光栅源程序 在均匀光栅中间引入一个相移点,得到反射谱!(source program for phase shift gratings)

56
下载
147
浏览
2012-01-05发布

6. pscad_pemfc

  采用pscad/emtdc开发质子交换膜燃料电池的模型,可再生能源(pscad/emtec develop proton exchange membrane fuel cell model)

82
下载
167
浏览
2011-07-21发布

7. Inverter_Filter

  采用pscad/emtdc环境开发逆变器的滤波器方式(pscad/emtdc develop inverter/filter)

65
下载
150
浏览
2011-07-21发布

8. OK_verygood_PMSG_svpwm2

  永磁发电机的SVPMW的控制 能够很好的整流 参数也都已经调试好了 (PMGW perfect control with svpwm method)

106
下载
145
浏览
2011-07-05发布

9. tidalellipticity

  本程序采用对一个潮周期中每个潮流矢量的大小、方向分别在长短轴上进行分解,从而计算出其潮流椭率(This procedure uses to one moist cycle in each tidal current vector size, the direction carries on the decomposition separately on the long minor axis, thus calculates its tidal current ellipticity )

21
下载
147
浏览
2009-06-21发布

10. heat-transfer

说明:  用于一维和二维传热问题的有限差分和有限元法数值计算(For one-dimensional and two-dimensional heat transfer finite difference and finite element method numerical calculation)

2
下载
142
浏览
2008-11-13发布

11. dist

说明:  图像间距离测量可以轻松实现,还有大量源码可共分享(Measuring the distance between images can be easily achieved, there are a large number of source code can be a total share)

1
下载
151
浏览
2008-11-08发布

12. sampe-fft

  这是在matlab/simulink环境下,对一个连续信号采样及离散化.并进行FFT频谱分析的实例(This is in the matlab/simulink environment, for a continuous signal sampling and discretization. And FFT spectrum analysis examples)

715
下载
155
浏览
2008-03-22发布

13. create_freq_offset

  本人编写的有关频偏的matlab程序,希望对大家有用(prepared by the shift of Matlab procedures in the hope that it may be useful to)

88
下载
144
浏览
2007-05-09发布

14. matlab_cdma

  该程序仿真CDMA接收机的功能,包括解扩,解交织,信道编码(卷积码),解码,信源编码(CRC)解码5个功能模块,生成用于数字基带传输的信号序列。 仿真CDMA发射机的功能,包括随机序列的产生,信源编码尾比特添加, 信道编码(卷积编码),分组交织和扩频调制6个功能模块,生成用于数字基带,传输的信号序列,输出还包括用于解调所需要的信源编码尾比特值add_bits,交织器的尾比特值i_add_bits(CDMA receiver functions, including despreading, Xie intertwined, channel coding (convolutional codes), decoded, the source code (CRC) decoder five functional modules, production figures for the base band signal transmission sequence. Simulation CDMA transmitter functions, including random sequence generation, the source coding bit late add, Channel Coding (coding), a spread-spectrum modulation intertwined and six functional modules, production figures for the base-band, The transmission signal sequence, and the output is also included for the modems needed source coding bit value add_bits tail, interleaver value of the last bit i_add_bits)

552
下载
188
浏览
2007-01-15发布