登录
首页 » VHDL » cpld 控制 8

cpld 控制 8

于 2022-02-20 发布 文件大小:422.87 kB
0 114
下载积分: 2 下载次数: 1

代码说明:

cpld 控制 8-32M sdram 控制器 maxII epm570实现。-CPLD control 8-32M sdram controller maxII epm570 realize.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • UBlaster
    USB Blaster full production data
    2011-06-17 16:03:28下载
    积分:1
  • Block-cipher-lock
    密码锁verilog源代码,包括四个七段数码管显示模块,设置密码以及输入密码校验模块(Password lock Verilog source code, including four of seven digital tube display module, set the password and password verification module)
    2014-01-11 23:57:19下载
    积分:1
  • 基于fpga和xinlinx ise的音乐播放器vhdl程序,希望对你有所帮助!...
    基于fpga和xinlinx ise的音乐播放器vhdl程序,希望对你有所帮助!-and xinlinx ideally music player VHDL process, and I hope to help you!
    2023-02-07 05:35:03下载
    积分:1
  • FPGA
    FPGA的学习指南,绝对经典,内容比较超值,我已经细心读过了,讲解清晰,快速入门。-FPGA-study guide, an absolute classic, the content of more value, I have carefully read, and to explain clearly, Getting Started.
    2023-07-28 14:25:03下载
    积分:1
  • 非常好的VHDL音乐
    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity song is    port(clk_4MHz,clk_4Hz:in std_logic;      ----预置计数器和乐谱产生器的时钟         digit:buffer std_logic_vector(6 downto 0);  ----高、中、低音数码管指示         zero:out std_logic_vector(4 downto 0);     ----用于数码管高位置低  
    2022-12-29 04:50:03下载
    积分:1
  • LDPC_DECODER(matlab)
    本程序是在AWGN下的LDPC码的仿真程序,本程序优点是译码效率高,速率很快,可以仿帧数很大的图。(the decoder for LDPC under the AWGN channel)
    2020-12-27 21:49:02下载
    积分:1
  • LED 闪烁的VHDL代码
    LED闪烁的VHDL代码
    2022-07-28 10:23:55下载
    积分:1
  • Sys-gen
    System Generator
    2020-10-25 16:40:00下载
    积分:1
  • 64point_FFT
    64点FFT代码 基4算法 Verilog(64-point FFT code radix-4 algorithm Verilog)
    2021-01-15 09:48:46下载
    积分:1
  • 基于VHDL的I2C程序0005,很不错的论文及程序,,大家快下啊
    基于VHDL的I2C程序0005,很不错的论文及程序,,大家快下啊-based on the I2C procedures VHDL 0005, a very good paper and procedures, we quickly under ah
    2022-03-18 17:11:42下载
    积分:1
  • 696516资源总数
  • 106415会员总数
  • 3今日下载