登录
首页 » VHDL » 这个是vhdl的彩灯实例程序,里面涵盖了48种的彩灯变化,通过了maxplus的验证,并且在机上实验通过...

这个是vhdl的彩灯实例程序,里面涵盖了48种的彩灯变化,通过了maxplus的验证,并且在机上实验通过...

于 2022-02-28 发布 文件大小:101.29 kB
0 51
下载积分: 2 下载次数: 1

代码说明:

这个是vhdl的彩灯实例程序,里面涵盖了48种的彩灯变化,通过了maxplus的验证,并且在机上实验通过-this is the Lantern example VHDL procedures inside covers 48 species of Carnival changes adopted maxplus certification, and the plane through experiments

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • VHDL
    控制电话信令 完成忙碌 等待 回铃音振铃等(Signaling complete control over telephone ring so busy waiting ringback tone)
    2010-10-22 20:11:38下载
    积分:1
  • count16
    制作16位流水灯,实现LED模块对于拨杆0和1的识别(Making 16-bit pipeline lamp to realize the recognition of dial rod 0 and 1 by LED module)
    2020-06-24 01:20:02下载
    积分:1
  • part1
    Altera DE2 开发板试验2 第1部分VHDL答案(Altera DE2 Lab2 part1 VHDL answer)
    2011-11-17 19:02:19下载
    积分:1
  • vhdl_codes
    D-flip flop vhdl implement code
    2012-04-13 14:03:13下载
    积分:1
  • newViterbi217
    基于IEEE802.11n标准,采用verilog语言设计的(2,1,7)卷积码viterbi译码器,支持1/2,2/3,3/4,5/6四种码率的译码,以测试无误(IEEE802.11n standard Verilog language design (2,1,7) convolutional code viterbi decoder support 1/2, 2/3, 3/4, 5/6 four bit rate decoding to test and correct)
    2020-06-29 08:40:01下载
    积分:1
  • S05_example_Network
    vivado lwip 应用文档 基于zynq 7020(vivado lwip example text of zynq)
    2020-06-17 11:40:02下载
    积分:1
  • 带自适应波特率发生器UART实现,经过FPGA验证的!
    带自适应波特率发生器UART实现,经过FPGA验证的!-UART baud rate generator with adaptive realization, after FPGA validation!
    2023-01-21 06:20:04下载
    积分:1
  • 基于Actel A3P030 FPGA液晶显示器使用jdl12864串行接口,时钟可调
    基于Actel A3P030 FPGA,液晶采用JDL12864串行接口,时钟48MHz-Based on Actel A3P030 FPGA, LCD using JDL12864 serial interface, clock 48MHz
    2022-07-05 03:00:11下载
    积分:1
  • 4dbpsk系统的设计实现源码,几个朋友用一个假期的时间协作完成,功能非常好...
    4dbpsk系统的设计实现源码,几个朋友用一个假期的时间协作完成,功能非常好-The 4dbpsk system design realization source code, several friends complete it cooperation in one vacation time , the function is extremely good
    2022-02-04 07:05:28下载
    积分:1
  • 采用VHDL编写的一个简单的UART
    采用VHDL编写的一个简单的UART-using VHDL prepared a simple UART
    2022-03-05 06:29:41下载
    积分:1
  • 696522资源总数
  • 104029会员总数
  • 31今日下载