登录
首页 » VHDL » 基于FPGA的数字钟设计

基于FPGA的数字钟设计

于 2022-04-01 发布 文件大小:659.66 kB
0 37
下载积分: 2 下载次数: 1

代码说明:

基于FPGA的数字钟的设计,外部时钟32MHz,通过分频器得到秒脉冲,用于正常工作时的计数脉冲。通过分频还得到一个5ms的脉冲,用于按键的消抖(具体原理可见程序)。输入的信号有三个:1.时钟信号2.校时模式设置按键3.校时调整按键,输出通道6位数码管。共有:校时模块,24计数的小时计数模块,60计数的分钟计数模块,60计数的秒钟计数模块。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104029会员总数
  • 31今日下载