登录
首页 » VHDL » 提高流水线乘法器的FPGA Karatsuba AES-GCM吞吐量

提高流水线乘法器的FPGA Karatsuba AES-GCM吞吐量

于 2022-04-10 发布 文件大小:191.59 kB
0 33
下载积分: 2 下载次数: 1

代码说明:

应用背景在本文中,我们提出了流水线的吞吐量的AES-GCMkaratsuab人基于有限域乘法器。与我们提出的四级子二次有限域乘法器,Ghash功能不在GCM任何瓶颈硬件系统,无论三的AES实现哪一个提高吞吐量的AES-GCM流水线Karatsuba乘法器203(基于BlockRAM SubBytes,复合场SubBytes或基于LUT的SubBytes)。这个提出的AES-GCM芯达到31gbps和39gbps Virtex4吞吐量和Virtex5,分别。实验结果表明,一个单一的现代FPGA芯片能提供超过了认证的AES-GCM 30Gbps的吞吐量,具有高性能计算领域可编程器件的优点系统。关键技术在AES-GCM的两种主要成分(高级加密标准伽罗瓦计数器模式)是一个AES引擎和一个有限域乘法器GF(2128)在通用散列函数(GHash)。因为固有的计算反馈,系统性能通常由有限的基于FPGA实现的已知域乘法器的日期。在本文中,我们目前的吞吐量优化的AES-GCM 4级流水线基于FPGA的Karatsuba-Ofman算法的有限域乘法器。关键流水线乘法器的延时然后匹配的AES实现无论BLOCKRAM SubBytes,流水线复合场SubBytes或基于LUT的字节。AES-GCM吞吐量超过30Gbps上一个单一的Xilinx Virtex芯片。实验结果表明,我们实现迄今为止最有效的AES-GCM FPGA实现。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 刚写的一个44键盘程序,调了好多天才调出来,给大家提供参考。...
    刚写的一个44键盘程序,调了好多天才调出来,给大家提供参考。-Just write a 44 keyboard program, tune out of tune a lot of talent to give you a reference.
    2022-08-09 01:20:18下载
    积分:1
  • VHDL.Programming
    这是这本书的第四个版本,现在这个版本不仅提供了VHDL语言的覆盖面,但设计方法的信息,以及。此版本将指导读者通过创建一个VHDL设计的过程中,模拟设计,综合设计,放置和布线设计,使用的重要模拟验证的最终结果,新的技术,称为全速调试,提供了极其快速设计验证。在这个版本的设计,例如已被更新(This is the fourth version of the book and this version now not only provides VHDL language coverage but design methodology information as well. This version will guide the reader through the process of creating a VHDL design, simulating the design, synthesizing the design, placing and routing the design, using VITAL simulation to verify the final result, and a new technique called At-Speed debugging that provides extremely fast design verification. The design example in this version has been updated to reflect.)
    2012-04-08 19:36:36下载
    积分:1
  • fjq1
    介绍了在数字语音通信中, 利用在系统可编程技术和复杂可编程逻辑器件CPLD, 实现了数字语音的复接和分接 对于其中的单稳态电路的数字化和数字锁相环提取位同步信号也进行了详细的设计说明。实际应用结果表明, 系统工作稳 定可靠, 设计是成功的。(Describes the digital voice communications, the use of in-system programmable technical and complex programmable logic device CPLD, to achieve the digital voice multiplexer and demultiplexer for the single steady state in which the digital circuit and digital phase locked loop extraction bit synchronization signals are also carried out a detailed design specification. The practical application results show that the system works stable and reliable design is successful.)
    2020-12-01 10:39:28下载
    积分:1
  • FPGA
    基于FPGA的数字系统设计,包含原理、工程应用和案例。(FPGA-based digital system design, including theory, engineering applications and cases.)
    2010-10-12 21:34:00下载
    积分:1
  • 自动识别行人有无的交通灯
    应用背景交通信号灯,自动检测有无行人,根据检测的状态来调节灯的状态,完整VHDL代码外加模拟仿真时序图关键技术 设计一个交通信号灯,有A、B两条路,装置自动检测A路口和B路口是否有人,RST信号能够将交通灯的状态置到A路绿灯亮,B路红灯亮,5秒钟检测一个状态,当A路口有人时,A路绿灯保持,每隔5秒检测一次,当A路口没有人了,绿灯变为黄灯,5S后再变为红灯,同时B路口变为绿灯,再5S后检测B是否有人,有人保持绿灯,没人变为黄灯,再变为红灯,依次进行~~
    2023-03-06 09:50:04下载
    积分:1
  • 串口程序,基于VHDL 的,很好的程序 快下吧
    串口程序,基于VHDL 的,很好的程序 快下吧-Serial procedures, based on VHDL, and a very good program, are you fast
    2022-02-04 10:08:53下载
    积分:1
  • FPGA设计软件的绝佳入门书籍,本人珍藏,全部吐血奉献之2,请大家赶紧下!...
    FPGA设计软件的绝佳入门书籍,本人珍藏,全部吐血奉献之2,请大家赶紧下!-FPGA design software, an excellent entry-books, I treasure all the blood sacrifice of 2, please hurry under the U.S.!
    2022-07-17 20:40:02下载
    积分:1
  • _2FFT Algorithm
    基_2FFT算法的FPGA设计与实现,适合做fpga的工程技术人员参考及设计-_2FFT Algorithm-based FPGA Design and Implementation for fpga to do engineering and design reference
    2022-09-14 12:40:03下载
    积分:1
  • UART(RS232)
    用VERILOG语言实现的通用异步串行收发器(RS232收发器),波特率可设置,通讯稳定,已成功应用于实际项目。(VERILOG language with universal asynchronous serial transceivers (RS232 transceiver), the baud rate can be set, communication stability, has been successfully applied in actual projects.)
    2021-04-01 10:59:08下载
    积分:1
  • 坦克游戏的VHDL代码
    坦克游戏用VHDL语言编写。Altera的随身携带套件DE1。PS2键盘接口和VGA
    2022-03-14 20:16:04下载
    积分:1
  • 696524资源总数
  • 103791会员总数
  • 67今日下载